Open Process Spec Adoption: a Case Study

Size: px
Start display at page:

Download "Open Process Spec Adoption: a Case Study"

Transcription

1 Open Process Spec Adoption: a Case Study June 3 rd, 2014

2 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS

3 Target of OpenPDK Coalition 3 a set of open standards to allow an OpenPDK to be created once and then translated into specific EDA vendor tools and specific foundry formats. Let s Start with PDK Inputs format Open Process Specification

4 SI2 OPDK Coalition 4 OpenPDK Coalition Board Chair: Jim Culp, IBM OpenPDK Technical Steering Group (TSG) Chair : Gilles Namur, ST ESD Open Process Specification (OPS) Symbols Tools Interface Callbacks & Parameters Pcell XML Packaging Pcell Common Language Grammar Unified Layer Model (DFMC) OPS to OA TechDB OPS to opendfm OpenPDK Working Groups

5 Supplier Device Specifications WHAT IS OPS? 5 Open Process Specification (OPS) is a standardized format for exchanging all data needed to generate a complete PDK. DRM DB Layer List XSL parser script Exchange (standardized) OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> OPS.xsd parser This is the SI2 OPS standard parser parser parser parser Customer PDK DRC LVS Library PEX

6 Why OPS? 6 ST is looking for a smarter & more complete electronic PDK input format because lots of data are missing or are not easy to be extracted in traditional edrm. Open Process Specification New Format : Allow Automation for PDK generation. Eases EDA Vendor sync. with foundries Inputs. Foundries Inputs DB OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> PDK EDA Tools

7 Why OPS? 7 ST wants to have this format defined as a standard and used across the industry because what ever is the format of the Database used by the supplier to manage the PDK inputs : The PDK generation flow remains the same. FM Supplier Other DB OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> Only one Flow d i t a r t f DRC PDK PEX LVS Library

8 Why OPS? 8 ST is looking for an efficient way to generate several PDKs in parallel without a huge over cost. OPS is an answer to this target. OPDK Working Groups motto: Write once, use many, (test forever)! You can produce any kind of PDK. DRC PDK for Tool A PEX EDA Tools A EDA Tools B OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> LVS DRC Library PDK for Tool B PEX Even better, with the help of EDA Vendors : OPS could become a techfile LVS Library

9 OPS.xml What does it looks like? OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules>

10 What does OPS looks like? Human Readable Layer List Machine Readable Layer Declaration in OPS.xml <ops:rootlayer name="od abbreviation="od destination="core"> <ops:toolmappingnumber number="17" tool="oa"/> </ops:rootlayer> <ops:purpose opsname="drawing name="drawing abbreviation="drg destination="core"> <ops:toolmappingnumber number="-1" tool="oa"/> </ops:purpose> <ops:cadlayer name = "OD;drawing" alias="od" opsrole="diffusion" role="diffusion" description = "Defines ACTIVE Area" destination = "core" exponumber = "1"> <ops:refrootlayername value="od"/> <ops:refpurposename value="drawing"/> <ops:streamio format="gdsii" number="1" datatype="0"/> <ops:streamio format="oasis" number="501" datatype="500"/> <ops:toolmappingnumber number="1001" tool="calibre"/> <ops:display transparencyorder="1" visible="true" selectable="true" con2chgly="true" drgenbl="true" valid="true" stipple="stipple3" linestyle="linestyle0" fill="lime" outline="lime" fillstyle=""/> </ops:cadlayer>

11 What does OPS looks like? 11 Human Readable DRM Machine Readable OPS.xml <ops:rule name="po.s.4"> <opc:documentation sectiontitle="po Design Rules (POLY)"> <opc:description>po space if at least one PO width is > µm (W) and if the parallel run length is > µm (L)</opc:Description> </opc:documentation> <ops:template name=""> <opc:parameter name="v1" value="0.120" type="float"/> <opc:parameter name="v2" value="0.140" type="float"/> <opc:parameter name="main" value="0.160" type="float"> <opc:siunit prefix="µ" siname="m"/> </opc:parameter> <ops:refcadlayeralias value="po"/> <ops:refcadlayeralias value="po"/> </ops:template> </ops:rule>

12 What does OPS looks like? XML Representation 12

13 What does OPS looks like? Library device description Parameter Pin Order Netlister 13 <edsdevice name="nfet" libname="demo45" description="regular-vt FET" symbolname="nmos3" symbolpinordermappinglist="d=d;g=g;s=s;b=b" layoutname="nfet" layouttype="pcell" > <edsdeviceparameter name="w" description="total Gate Width" defaultvalue="240n" type="string" units="lengthmetric" > <edsddfdeviceparameter callback="check_width_fet('w)" parseasnumber="1" parseascel="1" editable='cdfgdata->dimensionmode->value==\"totalwidth\"' display="1" storedefault="1" /> </edsdeviceparameter> <edsdeviceparameter name="l" description="gate Length" defaultvalue= 80n" type="string" units="lengthmetric" > <edsddfdeviceparameter editable="1" callback="check_length_fet()" parseasnumber="1" parseascel="1" display="1" storedefault="1" /> </edsdeviceparameter> <edsdevicenetlistinginfos> <edsdevicenetlistinginfo toolname="aucdl" modelname="nfet" netlistprocedure="_anscdlcompparamprim" instparameters="(w l)" componentname="mos" termorder="(d g s b)" nameprefix="m" /> </edsdevicenetlistinginfos> </edsdevice> demo45 nfet Regular-Vt FET w & l D;G;S;B CDL

14 A concrete OPS example 14 All along the process of definition of OPS, ST has contributed with several DRM examples : demo DRM 45nm. The example contains a complete DRM.pdf and its associated OPS.xml file aligned with the OPS.xsd defined by SI2 OPDKC OPS WG. OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> OPS.xsd

15 OpenPDK Let s do an openpdk with OPS

16 First edrm & PDK automation (DRC, pcell, QAcell) 40/45nm October 2009 XML edrm program start March rst phase spec frozen June rst IBM & ST discussion about edrm solution 32/28nm August 2010 SI2 OPS Working Group Creation October 2010 ST Contribution : first OPS UML description OPS History 16 March & October 2011 ST Contribution : 1 st & 2 nd OPS.xsd + «demo DRM 45nm 1.0» June 2011 DAC 1 st ST Results with OPS : «Dev. Lib generation from OPS» 20nm June 2012 SI2 DAC Demo : DRM-> XML-> OpenDFM (DRC) -> CDN/SNPS/MGC DRC > Layout Viewer 40nm August 2012 ST Contribution : «Updated demo DRM 45nm» (extended to address Multi Patterning / Local Interconnect Layers & specific rules / 3D Space) Since August 2012 used by ST to generate 20nm/14FDSOI/SI-Photonics PDK Device Library & Techfile November 2012 OPS.XSD 1.0 (semantic of the XML file) Since Q used by ST to generate 3D IC PDK Addons June 2013 DAC Demo : Rule subset from ST Demo DRM 45nm translation into OpenDFM deck November 2013 OPS.XSD 1.1 with ST Contribution : «demo DRM 45nm 1.6» Q1 & Q OPS Proof of concept Scripts : Automatic Translation OPS opendfm & OPS OpenAccess Techfile June 2014 DAC Demo & Presentation : Full ST «Demo DRM 45nm» translation into OpenDFM deck OPS translation into OpenAccess Techfile Q OPS.XSD 1.2 with ST Contribution : «Updated demo DRM 45nm» ST only OpenPDK at STMicroelectronics

17 First Step : Generate your OPS 17 ST is ready to produce their own edrm in OPS format. PDK Inputs DRM DB Layer List XSL & parser OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> Device Specifications OPS.xsd Deployed at Production level in ST PDKs 20nm (2011) / 14nm FD-SOI (2013) Silicon Photonics (SP) (2012) 3D IC Flow (SP + CMOS Sensor) (2013)

18 First Step : Generate your OPS 18 SI2 can Help you : PDK Inputs Get the ops2oatechdb translator Available on the Si2 website. Extract your Data from your OpenAccess Technology Database Bidirectional Translation Today limited to Layers description OpenAccess Technology Database OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> ops2oatechdb translator

19 First Step : Generate your OPS 19 Update your existing flows and scripts PDK Inputs DRM DB Layer List Script edrm Process Libraries Devices Layers Rules Updated Script OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> OPS.xsd This should not takes too long may be some weeks!!!

20 Then : Create your PDK 20 OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> parser parser parser Customer PDK DRC LVS Library OPS.xsd parser parser parser Pcells PEX At ST and/or SI2, Flows already exist to produce PDK Device Library, Techfile, DRC, Documentation Flows about to be released to produce Pcells

21 OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> OPS : Automation for DRC & Techfile Constraints GroundRuler 21 Techfile Coder DRC Coder Enriched OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < Templates > New Template In-House Template DB Reference to DRM DB OPS Enriched OPS Reference to New Template opendfm Template DB New Template Generator In-House Template DB Techfile Code DRC Code New Template

22 OPS to DRC Generation 22 OpenDFM File OPS XML The Target Calibre, PVS or ICV Runsets

23 OPS to DRC Generation 23 The Result : SI2 propose a script that automatically generate an opendfm Deck from an OPS.XML file The full set of rules of the demo DRM 45nm provided by ST has been converted in opendfm through this script Current Generation & Validation Flow edrm Translation Manual Generation DRC deck For Tool A DRC deck For Tool C DRC deck For Tool B Validation Validation Report on Tool C Comparison Validation Report on Tool C Comparison OPS SI2 OPS2openDFM Automatic Script opendfm Validation Validation Report on Tool A Roadmap: opendfm Support in ST PDKs at Production Level 28nm (Q3 2014) / 14nm FD-SOI (Q4 2014) Silicon Photonics (SP) (Q3 2014)

24 OPS : Automation for Techfile Generation 24 OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> The Target Techfile All Layers Design Layers Derived Layers Via Definitions Physical Constraints Tool Directives

25 OPS To Techfile Generation 25 The Result : SI2 propose a script that automatically generate an OA techdb (openaccess techfile) with all the info relative to the layers ST current usage of OPS Coverage Layers Display GDS (Layer map side file) ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Next Steps : Extend the automatic techfile generation with the process constraints through templates as decribed in previous slides. Extend the coverage of technos using this kind of automation. The Bi-Directional Translation allows to create an OPS file from an existing OA DB. Very Helpful for OPS Adoption

26 OPS to Techfile Optimisation 26 Enriched OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < Templates > Generator Primary Techfile with «flat» constraints code Techfile Template One Rule One Template & One code / No table Techfile Optimisator Final Techfile with optimized constraints code

27 OPS : Automation for PDK device Library PDK Device Library generation push button flow from an OPS.xml as input. 27 OPS.xsd OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> OpenLibGen is an ST Internal API & Tools. ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Ref Symbols Library Parameter Setup File.. Pcells Library Callbacks Directory Spiltted Techfile Directory > Next Steps : Remove as much as possible the additional set of input. Everything should be in OPS.

28 OPS : Automation for PDK documentation Device Library Documentation for End-User. 28 OPS.xsd OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> OpenLibGen is an ST Internal API & Tools. ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Next Steps : Extend the doc template to enhance the full device Library documentation Device Lib Documentation Template (Word) Device Lib Documentation (Word)

29 OPDK/OPS Next Step : Open Pcells 29 Problems OpenPCell Addresses More productive programming effort Write once Pcell and Callback code Multi flow support Ease of integration into a PDK Assure high quality Expected Results are : Pcell generation productivity ++ Pcell quality enhancement One Spec Several Pcells code No flow supporting multiple language Fully supports SI2 OpenPcell Initiative Strong Link with OPS seen as added value Looking for Build-In Validation Concept OpenPDK at STMicroelectronics

30 OPDK/OPS Next Step : Open Pcells 30 Process Inputs Process Constraints Layer Definitions Devices PDK Spec Capture Tool OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> PDK Generation Tool PyCell Plugin TCL Plugin Custom Designer PDK Pyxis PDK PDK Testing Tool Models Vias Code Repository SKILL Python CLG SKILL Plugin Virtuoso PDK OPS with its PCells XML Repository solution is language agnostic and with the use of translators, the data can be adopted to any companies proprietary solution.

31 ST strongly supports PDK Standardization : Best Time-to-market PDK for IP-design flow Best PDK Development efficiency, quality Universal data store for design information (OA) Conclusion 31 EDA Vendors adoption of OPS is now a must-have to deploy across Industry within ST foundry offer. OpenPcell & opendfm Last Spec Benchmark to be done Automatic Techfile Constraints generation. Working with EDA Vendors to find a production solution ST willingness to being more involve in Open3D & Silicon Photonics TABs ST already uses OPDK in production advanced & legacy technology PDKs.

32 THANK YOU

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

PDK Automation An IBM Perspective

PDK Automation An IBM Perspective PDK utomation n IBM Perspective Matthew Graf, OPDKC James Culp, ODFMC Si2 Con Oct. 20 th, 2011 IBM s PDK Development History Timeline 1998 2009 OpenPDK OpenDFM Chip Design groups develop their own PDK

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40

More information

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenPDK Symbol, Callbacks and Parameters Working Group OpenPDK Symbol, Callbacks and Parameters Working Group Rich Morse Marketing & EDA Alliances Mgr. SpringSoft October 20, 2011 Overview The SCP working group is focused on developing specifications for a

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

Detailed Presentation

Detailed Presentation Detailed Presentation PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDKs are being rapidly adopted worldwide by leading foundries and design houses because of their quality and ease

More information

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016 GF14LPP-XL AMS Reference Flow for FINFET Technology Rajashekhar Chimmalagi Design Methodology April 5 th 2016 Agenda 1 FinFET & FinFET Challenges 2 GF Reference Flows 3 Ref Flow Design 4 Ref Flow Modules

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building Design software and services for the integrated photonics market IPKISS 3.1.3 Moves the edges in PIC PDK building take controltake of your control photonics of your photonics design flow design flow 1

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies Introduction David Kaplan (Cadence Design Systems, Inc.) Sini Mukundan (National Semiconductor, Inc.) OpenAccess plays

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

OpenAccess PCells Ed Petrus VP Engineering V2

OpenAccess PCells Ed Petrus VP Engineering V2 OpenAccess PCells Ed Petrus VP Engineering V2 April 2005 Page 1 Copyright 2005 CiraNova, Inc. What is CiraNova about? 4 CiraNova enables analog designers to create migratable, re-usable analog objects

More information

oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013

oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013 oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013 1 oascript News Chip Designer Centric Python API Tcl API Ruby API Perl API Language-Specific Bindings Type Mapping Type Mapping Type Mapping

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

DRVerify: The Verification of Physical Verification

DRVerify: The Verification of Physical Verification DRVerify: The Verification of Physical Verification Sage Design Automation, Inc. Santa Clara, California, USA Who checks the checker? DRC (design rule check) is the most fundamental physical verification

More information

SysML Past, Present, and Future. J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd

SysML Past, Present, and Future. J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd SysML Past, Present, and Future J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd A Specification Produced by the OMG Process SysML 1.0 SysML 1.1 Etc. RFI optional Issued by Task Forces RFI responses

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Process Agnostic Library Migration Automation

Process Agnostic Library Migration Automation Need for Speed: Process Agnostic Library Migration Automation Joseph Murray Lijun Li Outline Motivation Approach PyCell Studio Cadence SKILL Comparison Summary Why Process Agnostic Migration Automation

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway AIM Photonics Silicon Photonics PDK Overview March 22, 2017 Brett Attaway Silicon Photonics Process Design Kits (PDK) PDK 3 technologies, 2 major releases/year Full (active)- v1.0 available now Passive-

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

PIC design across platforms. Ronald Broeke Bright Photonics

PIC design across platforms. Ronald Broeke Bright Photonics PIC design across platforms Ronald Broeke Bright Photonics OUTLINE Introduction PIC applications & designs MPW Materials & platforms Design modules PICs in Phoxtrot Design House for Photonics ICs Custom

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Setting up the IBM 65nm libraries in Cadence 6.1

Setting up the IBM 65nm libraries in Cadence 6.1 Setting up the IBM 65nm libraries in Cadence 6.1 Preeti Mulage v1 (Jan, 2010) 1. Setting up Cadence 6.1 and Spectre MMSIM 7.1 a. You need to incorporate these lines in order to bring up the latest 6.1

More information

Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard

Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard Model Driven Message Interoperability (MDMI): an Object Management Group (OMG) Standard Joe Bugajski Co-Chair, OMG Financial Domain Task Force Objectives of Presentation Overview of OMG MDMI Standard Potential

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Dictionary Driven Exchange Content Assembly Blueprints

Dictionary Driven Exchange Content Assembly Blueprints Dictionary Driven Exchange Content Assembly Blueprints Concepts, Procedures and Techniques (CAM Content Assembly Mechanism Specification) Author: David RR Webber Chair OASIS CAM TC January, 2010 http://www.oasis-open.org/committees/cam

More information

oascript & oadebug Kevin Nesmith Lead Engineer, Si2 June 7, 2013

oascript & oadebug Kevin Nesmith Lead Engineer, Si2 June 7, 2013 oascript & oadebug Kevin Nesmith Lead Engineer, Si2 June 7, 2013 1 oascript News Chip Designer Centric Python API Tcl API Ruby API Perl API Language-Specific Bindings Type Mapping Type Mapping Type Mapping

More information

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Terry Blanchard Hewlett-Packard Company terry.blanchard@hp.com

More information

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update Andy Goodrich, Cadence Design Systems Presentation Overview Accellera Overview Membership

More information

Cadence Design Systems

Cadence Design Systems Cadence Design Systems Analog Mixed-Signal Foundation Flow (AMSFF) Cadence 45nm Generic Standard Cells User Guide 2014 April Cadence Design Systems Page 1 of 32 CONFIDENTIAL NOTICE This document contains

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE!

DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE! OA DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE! OPDK Reference Symbol Library Ruby Tools: Translate SYMBOLS: OA to XML & OA to SVG 89 symbols OA opdksymbolchk.py * XSD/XML Validation * Constraints

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow Article Reprint This article was first published in Microwave Product

More information

A 65 nm Design Tape-Out in 6 Weeks

A 65 nm Design Tape-Out in 6 Weeks A 65 nm Design Tape-Out in 6 Weeks Andreas Olofsson and Roman Trogan Adapteva. S San Jose, CA March 10, 2010. Project Description Design: Multi-million gate ASIC with > 50 hard macros and hundreds of high

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Connected Grid Design Suite-Substation Workbench Release 1.0: Frequently Asked Questions (FAQ)

Connected Grid Design Suite-Substation Workbench Release 1.0: Frequently Asked Questions (FAQ) Connected Grid Design Suite-Substation Workbench Release 1.0: Frequently Asked Questions (FAQ) Revised June 12, 2013 Online Part Number: Cisco Systems, Inc. www.cisco.com Questions: Questions: Introduction

More information

Defining Domain-Specific Modeling Languages

Defining Domain-Specific Modeling Languages Defining Domain-Specific Modeling Languages 1 st Oct 2008 Juha-Pekka Tolvanen MetaCase 1 Relevant language classifications to start with General-Purpose / Domain-Specific Narrow area of interest Often

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 Agenda CAD (Computer-Aided Design) General CAD CAD innovation over the years (Short Video) VLSI CAD (EDA) EDA: Where Electronic

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

Event Processing: Insight into Your CICS Systems and Business

Event Processing: Insight into Your CICS Systems and Business Event Processing: Insight into Your CICS Systems and Business Ian J Mitchell, IBM Distinguished Engineer CICS Portfolio Architect, IBM Hursley Wednesday August 8th 2012 Session Number: 11439 IBM's statements

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Virtuoso Multiple Supply Multiple Voltage. (MSMV) Support. Nidhi Malik

Virtuoso Multiple Supply Multiple Voltage. (MSMV) Support. Nidhi Malik Virtuoso Multiple Supply Multiple Voltage (MSMV) Support Nidhi Malik Cadence Design Systems 91-120-2562842 nrustagi@cadence.com CDNLive, September 12-14, 2005 Silicon Valley Abstract There are innumerable

More information

Automate to Innovate L EA RN WHAT SCRIPTING CAN DO FOR YOU P U N E E T S I N G H

Automate to Innovate L EA RN WHAT SCRIPTING CAN DO FOR YOU P U N E E T S I N G H Automate to Innovate LEARN WHAT SCRIPTING CAN DO FOR YOU PUNEET SINGH Agenda Target Audience What will be covered in the session? Why Automate? Where to Automate? Where to Automate Examples How to Start

More information

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence Karthik Krishnamoorthy - DFM Design Enablement 1 Agenda GLOBALFOUNDRIES update GLOBALFOUNDRIES reference flow In-Design

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

Architecture & Deployment

Architecture & Deployment Architecture & Deployment IBM Connections 5.0 Workshop Author: Paul Godby IBM Ecosystem Development Duration: 30 minutes 2014 IBM Corporation Agenda IBM Connections lications Prerequisite Software Deployment

More information

Certifying LXI Products Testing Criteria and Process

Certifying LXI Products Testing Criteria and Process LXI Consortium Certifying LXI Products Testing Criteria and Process Jochen Wolle Jochen.Wolle@rohde-schwarz.com Agenda List of LXI Conformant Devices LXI Conformance Process Conformance Documents Tools

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

XF Rendering Server 2008

XF Rendering Server 2008 XF Rendering Server 2008 Using XSL Formatting Objects for Producing and Publishing Business Documents Abstract IT organizations are under increasing pressure to meet the business goals of their companies.

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

Automatic Stress Effects Computation Based On A Layout Generation Tool For Analog IC

Automatic Stress Effects Computation Based On A Layout Generation Tool For Analog IC 2010 IEEE International Behavioral Modeling and Simulation Conference Modeling for Physical Design Session Automatic Stress Effects Computation Based On A Layout Generation Tool For Analog IC Stephanie

More information

CISC 322 Software Architecture

CISC 322 Software Architecture CISC 322 Software Architecture UML - The Unified Modelling Language Nicolas Bettenburg 1 DEFINITION The Unified Modelling Language (UML) is a graphical language for visualizing, specifying, constructing,

More information

CICS Version 4 Event Processing

CICS Version 4 Event Processing CICS Version 4 Event Processing Ian J Mitchell IBM Hursley Thursday 11th August 2011 Session Number 9330 IBM's statements regarding its plans, directions, and intent are subject to change or withdrawal

More information

BizTalk Server Introduction. Marius Rochon Technical Evangelist

BizTalk Server Introduction. Marius Rochon Technical Evangelist BizTalk Server 2000 Introduction Marius Rochon Technical Evangelist mrochon@microsoft.com Agenda BTS in context What does it do? How does it work? 2 BizTalk Initiative To automate business process integration

More information

JENA: A Java API for Ontology Management

JENA: A Java API for Ontology Management JENA: A Java API for Ontology Management Hari Rajagopal IBM Corporation Page Agenda Background Intro to JENA Case study Tools and methods Questions Page The State of the Web Today The web is more Syntactic

More information

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis Course Syllabus Table of Contents Course Syllabus 1 Course Overview 1 Course Learning Objective 1 Course Philosophy 1 Course Details

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering, Welcome JAN 2009 Agenda Presentation Team: Pascal Bolzhauser, Key Developer, pascal@concept.de Lothar Linhard, VP Engineering, lothar427@concept.de Agenda: Company Overview Products: GateVision RTLVision

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information