IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

Size: px
Start display at page:

Download "IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding"

Transcription

1 IPL Workshop Luncheon DAC 2008 Interoperable PDK Libraries: The Proof is in the Pudding

2 Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40 12:55 TSMC s View of Interoperable PDK Tom Quan, TSMC 12:55 01:10 TSMC s PDK Support Process Steven Chen, TSMC 01:10 01:20 IPL Alliance Future Activity Michael Ma, Ciranova 01:20 01:30 Q&A 2008 IPLNow.com (2)

3 Our Sponsors Foundry Sponsor: Charter Sponsors: IPL Sponsors:

4 We Value Your Feedback Your Gift: 2GB USB Flash Drive Please fill out the feedback form to exchange your gift

5 Agenda 12:00 12:20 Complimentary Lunch Buffet 12:20 12:40 Introduction & IPL Overview Ed Lechner, Synopsys 12:40 12:55 TSMC s View of Interoperable PDK Tom Quan, TSMC 12:55 01:10 TSMC s PDK Support Process Steven Chen, TSMC 01:10 01:20 IPL Alliance Future Activities Michael Ma, Ciranova 01:20 01:30 Q&A 2008 IPLNow.com (5)

6 IPL Alliance New Expanded IPL Charter: Create and promote standards for Interoperable Process Design Kits (PDKs) and flows IPL Goals: Enable a single PDK to support any OpenAccess tool Reduce PDK development and support costs Create choices in building your analog layout flow

7 IPL Alliance Background September 2006: A group of EDA companies committed to the IPL project with the following initial agreement: Promote OpenAccess PCell interoperability Create high quality libraries that are validated across many OpenAccess-based tools from multiple vendors Synchronize on OpenAccess versions

8 Traditional PDK Ecosystem Custom and analog design tools from all EDA vendors Compete Databases and PDK s Proprietary Process Technology Compete

9 Traditional PDK Ecosystem Process Technology PDK development for each EDA vendor Schematic & simulate Schematic & simulate Layout DRC/LVS/RCX Layout DRC/LVS/RCX

10 Problems with Traditional PDK s Semiconductor (Fabless, IDM and foundries) Perspective Incompatible Incomplete kits that can t be extended, limited reuse and portability No support for new, advanced tools EDA Vendor Perspective Foundries will not support new tools Expensive to create kits for customers Barrier to introducing new tools The Result Inefficiencies Increased cost Reduced productivity Extended schedules Redundant effort Impeded innovation

11 Traditional PDK Ecosystem Custom and analog design tools from all EDA vendors Compete Databases and PDK s Proprietary Process Technology Compete

12 IPL Alliance Vision Custom and analog design tools from all EDA vendors Compete OpenAccess IPL Standardize Process Technology Compete

13 Future PDK Ecosystem Process Technology 1 PDK development for all EDA vendors 1 Interoperable PDK 1 OA design database No data translation Schematic & simulate Layout DRC/LVS/RCX Schematic & simulate Layout DRC/LVS/RCX

14 IPL Alliance Progress June 2007 IPL Workshop Lunch IPL Booth April 2007 Announced IPL Initiative Released open source, interoperable p-cell library February 2008 IPL Demo online at Magma Titan Supports IPL Standards October 2007 Announced Technical Working Groups Interoperability Demo with IPL May 2008 IPL Extends effort to PDKs TSMC Joins IPL

15 IPL Ecosystem Foundry s & Users 2008 IPLNow.com (15)

16 Technical Working Groups Steering Committee TWG #1 PCells Ed Petrus TWG #2 Properties & Parameters Neel Gopalan TWG #3 Constraints Ed Petrus Needs Customer Input - Can You Help?

17 IPL s Approach To Achieving PDK Interoperability Involve EDA vendors, foundries and users Use existing standards where feasible Validate standards with prototype interoperable PDK s and flows Target modern process technology nodes

18 PDK Today Interoperable PDK Schematic Symbols CDFs Callbacks OA Schematic Symbols Interoperable CDFs Tcl Callbacks PCells Interoperable PCells Spice Models Spice Models Tech Files DRC/LVS/LPE Tech Files DRC/LVS/LPE EDA Vendor proprietary languages and database (Skill, Ample ) Open Access, Open-source languages (Python and Tcl) Multiple PDKs for multiple EDA vendors One PDK for all EDA vendors

19 PyCell vs. SKILL PCell Comparison PyCell Performance PyCell Memory Usage X 40% 37% 16 35% X 9.6X NaN* 30% 25% 20% 15% 10% 21% 19% NaN* 2 5% 0 0% 1,000 5, ,000 5, PyCells are faster and consume less memory * Skill ran out of memory

20 IPL Alliance Today Multi-Vendor Complete Front-to-Back Analog Flow 1 Interoperable PDK 1 OA design database No data translation 5 PyCell Development Environment Create schematic & simulate Fix Layout, Final DRC/LVS/RCX & Simulate 3 Schematic Driven Layout Run DRC 2008 IPLNow.com (20)

21 Summary IPL Members continue to collaborate in achieving interoperability Interoperable PDK s are real You can achieve interoperability and increased performance 2008 IPLNow.com (21)

22 What Can You Do? Demand support for an open environment for analog design from your EDA suppliers and foundries! Join the IPL Alliance! Visit IPL Web site at Attend IPL Alliance events to learn more IPL Alliance demo suite IPL lunch workshop Interoperability breakfast

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

OpenAccess PCells Ed Petrus VP Engineering V2

OpenAccess PCells Ed Petrus VP Engineering V2 OpenAccess PCells Ed Petrus VP Engineering V2 April 2005 Page 1 Copyright 2005 CiraNova, Inc. What is CiraNova about? 4 CiraNova enables analog designers to create migratable, re-usable analog objects

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Open Process Spec Adoption: a Case Study

Open Process Spec Adoption: a Case Study Open Process Spec Adoption: a Case Study June 3 rd, 2014 AGENDA 2 OpenPDK & OPS Introduction What does OPS looks like? Let s do an openpdk with OPS Target of OpenPDK Coalition 3 a set of open standards

More information

Lecture 6. Tutorial on Cadence

Lecture 6. Tutorial on Cadence Lecture 6. Tutorial on Cadence Virtuoso Schematic Editor Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org Schematic Editor Schematic editor (e.g. Cadence Virtuoso)

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Collaborative Analog Circuit Development

Collaborative Analog Circuit Development A Schematic Symbol Library for Collaborative Analog Circuit Development Across Multiple Process Technologies Jaeha Kim 1, Metha Jeeradit 1, Aida Varzaghaniaghani 2, Ying-Min Ingrid Huang 3 1 Stanford University,

More information

OpenPDK Coalition. Open Process Specification Working Group Status

OpenPDK Coalition. Open Process Specification Working Group Status OpenPDK Coalition Open Process Specification Working Group Status Gilles NAMUR OPDKC TSG Chair June 6 th, 2011 PDK Development Flow Ecosystem Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Detailed Presentation

Detailed Presentation Detailed Presentation PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDKs are being rapidly adopted worldwide by leading foundries and design houses because of their quality and ease

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Compact Model Council

Compact Model Council Compact Model Council Keith Green (TI) Chair Peter Lee (Elpida) Vice Chair 1 History and Purpose The CMC was formed in 1996 as a collaboration of foundries, fabless companies, IDMs and EDA vendors Foundry

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Collaborative Alliance for Semiconductor Test (CAST)

Collaborative Alliance for Semiconductor Test (CAST) Collaborative Alliance for Semiconductor Test (CAST) Special Interest Group CAST Overview 2017 CAST Charter A SEMI Special Interest Group that develops, coordinates, and directs all SEMI services for the

More information

Digitization of non-volatility Jean-Pascal BOST, CEO

Digitization of non-volatility Jean-Pascal BOST, CEO D a t a - e f f i c i e n t w o r l d Digitization of non-volatility Jean-Pascal BOST, CEO www.evaderis.com Lab spin-off Incorporated 2014 17 people IP Fabless Non volatile Semiconductor IP emram erram

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

54 th DAC EXHIBITOR PROSPECTUS

54 th DAC EXHIBITOR PROSPECTUS 54 th DAC EXHIBITOR PROSPECTUS Austin Convention Center Austin, Texas Exhibition: June 19-21, 2017 Conference: June 18-22, 2017 DAC.com sponsored by: in technical cooperation with: NETWORK ENGAGE AND EDUCATE

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

SNIA s SSSI Solid State Storage Initiative. Jim Pappas Vice-Char, SNIA

SNIA s SSSI Solid State Storage Initiative. Jim Pappas Vice-Char, SNIA SNIA s SSSI Solid State Storage Initiative Jim Pappas Vice-Char, SNIA jim@intel.com SNIA at a glance 160 unique member companies 3,500 active contributing members 50,000 IT end users & storage pros worldwide

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Avnu Alliance Introduction

Avnu Alliance Introduction Avnu Alliance Introduction Announcing a Liaison between Edge Computing Consortium and Avnu Alliance + What is Avnu Alliance? Creating a certified ecosystem to bring precise timing, reliability and compatibility

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Digital Camera PlugFest Date: September 15, 2004 Proposal Revision 1.2

Digital Camera PlugFest Date: September 15, 2004 Proposal Revision 1.2 Digital Camera PlugFest Date: September 15, 2004 Proposal Revision 1.2 Table of Contents Overview... 2 WHAT: Camera/Photo PlugFest for Compatibility... 2 WHY: Getting beyond the PC market... 2 WHERE: Optical

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

53 rd DAC EXHIBITOR PROSPECTUS

53 rd DAC EXHIBITOR PROSPECTUS 53 rd DAC EXHIBITOR PROSPECTUS Austin Convention Center Austin, Texas Exhibition: June 6-8, 2016 Conference: June 5-9, 2016 DAC.com sponsored by: in technical cooperation with: NETWORK, ENGAGE AND EDUCATE

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI SystemC Community Update ISCUG May 9, 2008 Girish Nanappa, OSCI OSCI Membership Corporate Members Associate Members 36 member companies, including 8 new since DATE 2007: CISC, CoFluent, ITRI, UPMC, STARC,

More information

Zigbee 3.0 and Dotdot Connecting the IoT. Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand

Zigbee 3.0 and Dotdot Connecting the IoT. Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand Zigbee 3.0 and Dotdot Connecting the IoT Jean-Pierre Desbenoit Schneider Electric Bruno Vulcano Legrand 1 We are the Standard Bearer of the Open IoT Established in 2002, our wideranging global membership

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Atmel ASIC BU Aerospace Product Line Marketing and Business Development Manager

Atmel ASIC BU Aerospace Product Line Marketing and Business Development Manager 2010 ESA Microelectronics Presentation Days Dominique de Saint Roman Atmel ASIC BU Aerospace Product Line Marketing and Business Development Manager Everywhere You Are 2010 ESA Microelectronics Presentation

More information

About the NGMN Alliance - Status and 5G Work-Programme. Klaus Moschner 27 th April 2016 GSC Delhi Delhi, India

About the NGMN Alliance - Status and 5G Work-Programme. Klaus Moschner 27 th April 2016 GSC Delhi Delhi, India About the NGMN Alliance - Status and 5G Work-Programme Klaus Moschner 27 th April 2016 GSC Delhi Delhi, India 1 History and Key Characteristics Founded by leading international mobile network operators

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Please give me your feedback

Please give me your feedback #HPEDiscover Please give me your feedback Session ID: B4385 Speaker: Aaron Spurlock Use the mobile app to complete a session survey 1. Access My schedule 2. Click on the session detail page 3. Scroll down

More information

July SNIA Technology Affiliate Membership Overview

July SNIA Technology Affiliate Membership Overview July 2016 SNIA Technology Affiliate Membership Overview Agenda! SNIA Membership Type Technology Affiliate (TA)! Technology Affiliate Typical Use Case! SNIA First Technology Affiliate! SFF Technology Affiliate

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

EXHIBITOR PROSPECTUS

EXHIBITOR PROSPECTUS 52 DAC nd EXHIBITOR PROSPECTUS Moscone Center, San Francisco, CA Exhibition: June 8-10, 2015 Conference: June 7-11, 2015 sponsored by: DAC.com in technical cooperation with: The Design Automation Conference

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma

More information

RTCC SMART Series. Duke Energy s Coalition of the Willing. March 18, #RTCCSMARTSeries. Presented By: Program Sponsors:

RTCC SMART Series. Duke Energy s Coalition of the Willing. March 18, #RTCCSMARTSeries. Presented By: Program Sponsors: RTCC SMART Series Duke Energy s Coalition of the Willing March 18, 2016 @Tricleantech #RTCCSMARTSeries Program Sponsors: Presented By: Research Triangle Cleantech Cluster: Who We Are Board of Directors

More information

PDK Automation An IBM Perspective

PDK Automation An IBM Perspective PDK utomation n IBM Perspective Matthew Graf, OPDKC James Culp, ODFMC Si2 Con Oct. 20 th, 2011 IBM s PDK Development History Timeline 1998 2009 OpenPDK OpenDFM Chip Design groups develop their own PDK

More information

How OSGi can help to build open IoT ecosystems Kai Hackbath Member of OSGi Alliance Board of Directors Evangelist at Bosch Software Innovations

How OSGi can help to build open IoT ecosystems Kai Hackbath Member of OSGi Alliance Board of Directors Evangelist at Bosch Software Innovations How OSGi can help to build open IoT ecosystems Kai Hackbath Member of OSGi Alliance Board of Directors Evangelist at Bosch Software Innovations CeBIT IoT Expert Conference Hannover, March 23, 2017 1 In

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building Design software and services for the integrated photonics market IPKISS 3.1.3 Moves the edges in PIC PDK building take controltake of your control photonics of your photonics design flow design flow 1

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

INTEROPERABILITÀ MODELLAZIONE PARAMETRICA- DIRETTA IN CREO

INTEROPERABILITÀ MODELLAZIONE PARAMETRICA- DIRETTA IN CREO INTEROPERABILITÀ MODELLAZIONE PARAMETRICA- DIRETTA IN CREO Claudio De Nadai Technical Account Manager Webex, 18/07/2016 AGENDA Direct Modeling in Creo Direct Modeling Use Cases The Round-Trip Summary &

More information

Towards a European e-competence Framework

Towards a European e-competence Framework Towards a European e-competence Framework Projects, trends, multistakeholder activities towards a European ICT sectoral framework, related to the EQF Jutta Breyer Brussels, 24 June 2008 Overview 1. Intro

More information

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer Terry Blanchard Hewlett-Packard Company terry.blanchard@hp.com

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

ITOM CUSTOMER FORUM 2018

ITOM CUSTOMER FORUM 2018 BELUX ITOM CUSTOMER FORUM 2018 ITOM CUSTOMER FORUM 2018 Brussels Square Thursday 18 th January 2018 Welcome & Introduction By Rose Roohi, Country Software Manager Belux Micro Focus BELUX ITOM CUSTOMER

More information

NYC Metro Area Oracle Users Group Day

NYC Metro Area Oracle Users Group Day The New York Oracle Users Group NYC Metro Area Oracle Users Group Day September 10, 2008 Welcome! This is the 6 th Metro Area Meeting Are You a Member? NYOUG NJOUG CTOUG IOUG ODTUG Other Oracle User Group

More information

SDN for Multi-Layer IP & Optical Networks

SDN for Multi-Layer IP & Optical Networks SDN for Multi-Layer IP & Optical Networks Sterling d Perrin Senior Analyst, Heavy Reading Agenda Definitions for SDN and NFV SDN Drivers and Barriers SDN Use Cases and Applications General Uses Specific

More information

Welcome and Introductions Thanks for coming today!!

Welcome and Introductions Thanks for coming today!! Welcome and Introductions Thanks for coming today!! Your IBM technical team welcomes you. Introductions.. Copies of this presentation are available: http://www.ibm.com/developerworks/offers/techbriefings/details/lotus.html

More information

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November 2007 Warren East Chief Executive Officer 1 Background Semiconductor Market ARM is a secular growth story with a 25+ year time horizon

More information

IATA 14 th Maintenance Cost Conference (MCC)

IATA 14 th Maintenance Cost Conference (MCC) IATA 14 th Maintenance Cost Conference (MCC) The 14th Maintenance Cost Conference (MCC) is determined to continue its success. IATA is pleased to announce that this year s MCC will be held on September

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

IHE USA Announces New Plug-a-thons

IHE USA Announces New Plug-a-thons IHE USA Announces New Plug-a-thons IHE North American Connectathon 2018 January 15-19, 2018 John Donnelly, Plug-a-thon Program Manager Phone: 908-693-7162 Email: jtdonnelly@intepro.biz Thanks for joining

More information

Studies Overview and Data Requirements. Robert Pan

Studies Overview and Data Requirements. Robert Pan Studies Overview and Data Requirements Robert Pan Outline 1. Introduction 2. BC Transmission System 3. BCTC Studies for Clean Power Call 4. Data Requirements for Interconnection Studies 5. Application

More information

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017 Agenda CAD (Computer-Aided Design) General CAD CAD innovation over the years (Short Video) VLSI CAD (EDA) EDA: Where Electronic

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Modularity and Open Systems: Meaningful Distinctions

Modularity and Open Systems: Meaningful Distinctions Modularity and Open Systems: Meaningful Distinctions Philomena Zimmerman Office of the Deputy Assistant Secretary of Defense for Systems Engineering 18th Annual NDIA Systems Engineering Conference Springfield,

More information

Arctic Chiller Group Training Programs

Arctic Chiller Group Training Programs Arctic Chiller Group Training Programs The Arctic Chiller Group is proud to provide a training course for technicians with the necessary skills to maintain and trouble-shoot the Arctic Chiller Systems.

More information

SyncML Overview. Noel Poore, Psion Computers PLC

SyncML Overview. Noel Poore, Psion Computers PLC SyncML Overview Noel Poore, Psion Computers PLC Data synchronization is a field of growing importance. As the number of mobile devices increases rapidly in the next few years, more and more data is going

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

EUROPEAN PLATFORMS AND INITIATIVES FOR C-ITS DEPLOYMENT

EUROPEAN PLATFORMS AND INITIATIVES FOR C-ITS DEPLOYMENT EUROPEAN PLATFORMS AND INITIATIVES FOR C-ITS DEPLOYMENT Dr. Angelos Amditis Research Director, ICCS OUTLINE Introduction C-ITS Platform GEAR2030 Digital Transport & Logistics Forum (DTLF) EC Directive

More information

Contractor Certified Mechanics Training From the Professionals

Contractor Certified Mechanics Training From the Professionals Contractor Certified Mechanics Training From the Professionals Innovative Spray Technology Made Simple CONTRACTOR Certified Mechanics Training CONTRACTOR Certified Mechanics Training PMC Certified Mechanic

More information

Analytics in the Cloud Mandate or Option?

Analytics in the Cloud Mandate or Option? Analytics in the Cloud Mandate or Option? Rick Lower Sr. Director of Analytics Alliances Teradata 1 The SAS & Teradata Partnership Overview Partnership began in 2007 to improving analytic performance Teradata

More information

An OASIS White Paper. Open by Design. The Advantages of the OpenDocument Format (ODF) ##### D R A F T ##### By the OASIS ODF Adoption TC For OASIS

An OASIS White Paper. Open by Design. The Advantages of the OpenDocument Format (ODF) ##### D R A F T ##### By the OASIS ODF Adoption TC For OASIS An OASIS White Paper Open by Design The Advantages of the OpenDocument Format (ODF) ##### D R A F T ##### By the OASIS ODF Adoption TC For OASIS OASIS (Organization for the Advancement of Structured Information

More information

Day 4 Workshop Key Activities Key Resources

Day 4 Workshop Key Activities Key Resources Day 4 Workshop Value Proposition Customer Segments Distribution Channels Customer Relationships Revenue Streams Key Partners Cost Structure Key Key Activities The Business Model Canvas Your Top 5 Key Activities

More information

Systems Alliance. VPP-1: Charter Document

Systems Alliance. VPP-1: Charter Document Systems Alliance VPP-1: Charter Document June 7, 2016 VPP-1 Revision History This section is an overview of the revision history of the VPP-1 document. February 14, 2008 Update charter document to reflect

More information

Embedded Network Systems. Internet2 Technology Exchange 2018 October, 2018 Eric Boyd Ed Colone

Embedded Network Systems. Internet2 Technology Exchange 2018 October, 2018 Eric Boyd Ed Colone Embedded Network Systems Internet2 Technology Exchange 2018 October, 2018 Eric Boyd , Ed Colone 1. Background 2. Standards Principles Requirements 3. Emerging Technology

More information

2017 Sponsorship Opportunities

2017 Sponsorship Opportunities 2017 Sponsorship Opportunities Access Education Networking A Better You. A Best Practice. Sponsorships with MGMA of Greater St. Louis Your sponsorship helps to support education, professional development

More information

Sequoia Project Content Testing Pilot Didi Davis Director Testing Programs

Sequoia Project Content Testing Pilot Didi Davis Director Testing Programs Sequoia Project Content Testing Pilot Didi Davis Director Testing Programs An initiative of 1 Copyright 2016 The Sequoia Project. All rights reserved. Meet Today s Presenters Didi Davis Director, Testing

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

With K5 you can. Do incredible things with Fujitsu Cloud Service K5

With K5 you can. Do incredible things with Fujitsu Cloud Service K5 With K5 you can Do incredible things with Fujitsu Cloud Service K5 Making incredible possible Digital is changing everything. According to a Fujitsu survey, customers and employees think it s vital for

More information

OpenStack Summit November 2013 Sponsorship Prospectus

OpenStack Summit November 2013 Sponsorship Prospectus OpenStack Summit November 2013 Sponsorship Prospectus Asia World-Expo Hong Kong November 5-8, 2013 Expected Attendees: 4,000-5,000 Thank you for your interest in sponsoring the OpenStack Summit! Your support

More information

The 5G Infrastructure Association

The 5G Infrastructure Association The 5G Infrastructure Association 5G Pan-European Trials Roadmap Dr. Didier Bourse 5G Global Event, 24-25 May 2017, Tokyo 01/06/2017 1 Context and Objectives 5G Pan-European Trials Roadmap Version 1.0

More information

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 Giving photonic IC designers the same power as electronic IC designers. Make it possible

More information

½Elements of a Profession ½Professionalism ½Introducing CIPS ½Becoming and I.S.P.

½Elements of a Profession ½Professionalism ½Introducing CIPS ½Becoming and I.S.P. Agenda ½Elements of a Profession ½Professionalism ½Introducing CIPS ½Becoming and I.S.P. 1 Elements of a Profession Building a Profession How do we ensure our IT industry practitioners have the correct

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

WEB REDESIGN PROJECT. presented to President s Cabinet. presented by Eric Turner, Web and Portal Services Uyen Mai, Marketing and Communication

WEB REDESIGN PROJECT. presented to President s Cabinet. presented by Eric Turner, Web and Portal Services Uyen Mai, Marketing and Communication WEB REDESIGN PROJECT presented to President s Cabinet presented by Eric Turner, Web and Portal Services Uyen Mai, Marketing and Communication October 28, 2014 Web Redesign Goals More Audience Focused More

More information

PARTNERING FOR SUCCESS PARTNER NETWORK

PARTNERING FOR SUCCESS PARTNER NETWORK PARTNERING FOR SUCCESS PARTNER NETWORK PointClickCare Partner Network 204 PointClickCare aims to align with companies that share a similar passion for improving the lives of seniors and who have complementary

More information

Theory of Operations for TSN-Based Industrial Systems and Applications. Paul Didier Cisco Systems

Theory of Operations for TSN-Based Industrial Systems and Applications. Paul Didier Cisco Systems Theory of Operations for TSN-Based Industrial Systems and Applications Paul Didier Cisco Systems Agenda Why TSN? Value and Benefits TSN Standards a brief Overview How TSN works an Operational Model The

More information

Conference Opportunities for Commercial Card and Payment Providers

Conference Opportunities for Commercial Card and Payment Providers Conference Opportunities for Commercial Card and Payment Providers Inside: 2016 Conference Details 2 Schedule of Events 2 Conference Opportunities 3 Opportunities Defined 4-5 Popular Value Packages 6 Calendar

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Unleash the Power of OPC Part 1: OPC Data Access Applications HMI/SCADA and Beyond September 10, 2008

Unleash the Power of OPC Part 1: OPC Data Access Applications HMI/SCADA and Beyond September 10, 2008 Unleash the Power of OPC Part 1: OPC Data Access Applications HMI/SCADA and Beyond September 10, 2008 You must call in to hear the audio +1 616 883 8033 Access Code: 473 081 544 We will be recording today

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Driving Interoperability with CMIS

Driving Interoperability with CMIS A guide to understanding the impact of the draft Content Management Interoperability Specification (CMIS) on content management repositories This white paper also includes developer resources for creating

More information

October 19, Re: CS Docket No Ex Parte Presentation. Dear Ms. Dortch:

October 19, Re: CS Docket No Ex Parte Presentation. Dear Ms. Dortch: 1200 G Street, NW P: 202-628-6380 Suite 500 F: 202-393-5453 Washington, DC 20005 W: www.atis.org Chairman Christopher T. Rice AT&T First Vice Chairman Nick Adamo Cisco Systems Second Vice-Chair Mark Wegleitner

More information

FHWA Bridge Information Modeling Update

FHWA Bridge Information Modeling Update FHWA Bridge Information Modeling Update Presented by: Mike Bartholomew, P.E. / CH2M HILL for AASHTO Subcommittee on Bridges & Structures T-19 Technical Committee for Software & Technology Saratoga Springs,

More information

MIPI Alliance Overview

MIPI Alliance Overview MIPI Alliance Overview Joel Huloux ST-Ericcson Chairman, MIPI Alliance June 16, 2010 1 MIPI Alliance Overview Open membership organization creates interface specifications aiding the development and interoperability

More information