Virtuoso - Enabled EPDA framework AIM SUNY Process

Size: px
Start display at page:

Download "Virtuoso - Enabled EPDA framework AIM SUNY Process"

Transcription

1 Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE

2 Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed signal designs. Challenges we see customers and foundries running into when it comes to photonics design: Large E customer base attempting to move Photonic IC design from the lab / special project status to general design status Increasingly complex PIC designs with >1000 components Limited system/circuit simulation capabilities Significant chip-level waveguide routing challenges IP protection Integration of electronics and photonics tools Not limited (by construction) to Silicon Photonics Our customers have come to us and have asked for help to provide a unified design platform to enable productive, repeatable, familiar design flows, involving photonics and accessible to their general designer community. 2

3 System Simulation Component-Level Design FDTD Solutions Photonic Integrated Circuit Design Spectre Electrical Simulation Virtuoso Analog Design DEVICE Nanophotonic Design INTERCONNECT Charge and Heat Transport Design MODE Solutions Waveguide Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL Virtuoso Custom Design Platform Virtuoso Schematic Editor 3 Curvilinear layout Parameterized primitives Waveguide and component Discretization

4 System Simulation Fab INTERCONNECT GDSII Final (batch) DRC/LVS Photonic Integrated Circuit Design Spectre Electrical Simulation Virtuoso Analog Design Mask layers Design rules Symbols Compact models SKILL Pcells and Virtuoso Layout Editor XL Virtuoso Custom Design Platform Virtuoso Schematic Editor 4 Curvilinear layout Parameterized primitives Waveguide and component Discretization

5 5

6 Fully detailed waveguides/connectors Abstract block of AIM IP 6

7 System Simulation Component-Level Design FDTD Solutions Photonic Integrated Circuit Design Spectre Electrical Simulation Virtuoso Analog Design DEVICE Nanophotonic Design INTERCONNECT Charge and Heat Transport Design MODE Solutions Waveguide Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL Virtuoso Custom Design Platform Virtuoso Schematic Editor 7 Curvilinear layout Parameterized primitives Waveguide and component Discretization

8 Component-Level Design FDTD Solutions DEVICE Nanophotonic Design Charge and Heat Transport Design MODE Solutions Waveguide Design Spectre Electrical Simulation Virtuoso Analog Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL Virtuoso Custom Design Platform Virtuoso Schematic Editor 8 Curvilinear layout Parameterized primitives Waveguide and component Discretization

9 Photonic IC layout Curvilinear / non-manhattan layout requires all angle design features (location of ports, rotation, smooth curves, connectivity) Advanced gridding and fracturing of polygons Phase aware waveguide routing Generic building blocks supporting customized design Photonic Synthesis 9

10 Virtuoso driven Layout Implementation Virtuoso Layout Editor XL Curvilinear layout Parameterized primitives Waveguide and component Discretization Virtuoso PDA-Link to OptoDesigner Coming as a SKILL context with supporting tools to improve PIC design efficiency Provides proper waveguide stitching, under all angles, to create composite waveguide layouts Adds a complete library of parameterized photonics primitives and components Adds the pxconnector family of waveguide connectors Provides information for back annotation into the circuit simulation 10

11 Component-Level Design FDTD Solutions DEVICE Nanophotonic Design Charge and Heat Transport Design MODE Solutions Waveguide Design Spectre Electrical Simulation Virtuoso Analog Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL + mydevice Virtuoso Custom Design Platform Virtuoso Schematic Editor 11 Curvilinear layout Parameterized primitives Waveguide and component Discretization

12 Component-Level Design FDTD Solutions DEVICE Nanophotonic Design Charge and Heat Transport Design MODE Solutions Waveguide Design Spectre Electrical Simulation Virtuoso Analog Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL + mydevice Virtuoso Custom Design Platform Virtuoso Schematic Editor 12 Curvilinear layout Parameterized primitives Waveguide and component Discretization

13 Component-level simulation The design and analysis of fundamental passive and active optoelectronic building blocks requires various solvers: Optical Electrical Thermal Extract accurate, and calibrated compact models from simulations and measured data for circuit simulation. 13 CADENCE, LUMERICAL SOLUTIONS INC, PHOENIX SOFTWARE

14 Component-Level Design FDTD Solutions DEVICE Nanophotonic Design Charge and Heat Transport Design MODE Solutions Waveguide Design Spectre Electrical Simulation Virtuoso Analog Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL + mydevice Virtuoso Custom Design Platform Virtuoso Schematic Editor 14 Curvilinear layout Parameterized primitives Waveguide and component Discretization

15 System Simulation Component-Level Design FDTD Solutions Photonic Integrated Circuit Design Spectre Electrical Simulation Virtuoso Analog Design DEVICE Nanophotonic Design INTERCONNECT Charge and Heat Transport Design MODE Solutions Waveguide Design Mask layers Design rules Symbols Compact models SKILL Pcells and Fab GDSII Final (batch) DRC/LVS Virtuoso Layout Editor XL + mydevice Virtuoso Custom Design Platform Virtuoso Schematic Editor 15 Curvilinear layout Parameterized primitives Waveguide and component Discretization

16 EPDA Relies on a Complete, Feature and Data-Rich E DA automation requires a complex EP DA is a super set of this: Mask layers Design rules Symbols Compact models SKILL PCells and Modeling for photonics elements with statistical and DFM effects Thermal dependency Electrical model Curvy linear device generation and design rules Active devices Waveguides Photonics connectivity (LVS) Waveguide parameter (s-parameter, n-eff) back-annotation Cadence, PhoeniX and Lumerical are working in close collaboration with AIM & Analog Photonics to assist in developing a feature reach supporting EPDA methodology. 16

17 2017 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Achieve more with light.

Achieve more with light. Achieve more with light. Comprehensive suite of leading photonic design tools. Component Design Multiphysics Component Design Lumerical s highly integrated suite of component design tools is purposebuilt

More information

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis Course Syllabus Table of Contents Course Syllabus 1 Course Overview 1 Course Learning Objective 1 Course Philosophy 1 Course Details

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway AIM Photonics Silicon Photonics PDK Overview March 22, 2017 Brett Attaway Silicon Photonics Process Design Kits (PDK) PDK 3 technologies, 2 major releases/year Full (active)- v1.0 available now Passive-

More information

RSoft Product Applications

RSoft Product Applications RSoft Product Applications Complete design solutions for photonic components, circuits and systems synopsys.com/optical-solutions/rsoft RSoft Photonic Design Software Applications Synopsys RSoft products

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building Design software and services for the integrated photonics market IPKISS 3.1.3 Moves the edges in PIC PDK building take controltake of your control photonics of your photonics design flow design flow 1

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 Giving photonic IC designers the same power as electronic IC designers. Make it possible

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN ACCELERATING CHIP-LEVEL ROUTING AND DESIGN Johnny Premkumar National Semiconductor Corporation Johnny.Premkumar@nsc.com September 2005 ABSTRACT This paper focuses on optimizing and improving time to market

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Ring Resonator MODE Simulation

Ring Resonator MODE Simulation Ring Resonator MODE Simulation High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering Lumerical Solutions 3D Maxwell solver(fdtd) Modal analysis(mode) Charge transport & heat

More information

Detailed Presentation

Detailed Presentation Detailed Presentation PDK Leadership - Developing and Delivering High Quality PDKs Simucad PDKs are being rapidly adopted worldwide by leading foundries and design houses because of their quality and ease

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Advanced Design System Netlist Exporter

Advanced Design System Netlist Exporter Advanced Design System 2002 Netlist Exporter February 2002 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with

More information

PIC design across platforms. Ronald Broeke Bright Photonics

PIC design across platforms. Ronald Broeke Bright Photonics PIC design across platforms Ronald Broeke Bright Photonics OUTLINE Introduction PIC applications & designs MPW Materials & platforms Design modules PICs in Phoxtrot Design House for Photonics ICs Custom

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Introducing Virtuoso RF Designer (RFD) For RFIC Designs

Introducing Virtuoso RF Designer (RFD) For RFIC Designs A seminar on Cadence Virtuoso RF Designer is scheduled for March 5, 2008. To know more, write to Brajesh Heda at brajesh@cadence.com Introducing Virtuoso RF Designer (RFD) For RFIC Designs Introduction

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Model Connection Protocol extensions for Mixed Signal SiP

Model Connection Protocol extensions for Mixed Signal SiP Model Connection Protocol extensions for Mixed Signal SiP Taranjit Kukal (kukal@cadence.com) Dr. Wenliang Dai (wldai@cadence.com) Brad Brim (bradb@sigrity.com) Presented by: Yukio Masuko Cadence Note:

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

How to Simulate and Optimize Integrated Optical Components. Lumerical Solutions, Inc.

How to Simulate and Optimize Integrated Optical Components. Lumerical Solutions, Inc. How to Simulate and Optimize Integrated Optical Components Lumerical Solutions, Inc. Outline Introduction Integrated optics for on-chip communication Impact on simulation Simulating planar devices Simulation

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

OpenPDK Production Value and Benchmark Results

OpenPDK Production Value and Benchmark Results OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014 ST s Strong technology portfolio : Several R&D Partnerships &

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

ELEC 301 Lab 2: Cadence Basic

ELEC 301 Lab 2: Cadence Basic ELEC 301 Lab 2: Cadence Basic Revision: 2.1 Last modified: Aug. 98 Introduction In this class, you will be introduced to the Cadence suit of IC design tools. These tools are a very powerful set of tools.

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

Introduction to AWR Design Flow and New Features for V10

Introduction to AWR Design Flow and New Features for V10 Introduction to AWR Design Flow and New Features for V10 What s New In Version 10 imatch Matching Network Synthesis Matching Network Synthesis Tight integration with AWR tools Excellent starting point

More information

ISO Tool Confidence Level (TCL)

ISO Tool Confidence Level (TCL) ISO 26262 Tool Confidence Level (TCL) John Brennan, Product Management Director, SVG Steve Lewis, Product Management Group Director, CPG Rob Knoth, Product Management Director, DSG Randal Childers, Director,

More information

Lecture 6. Tutorial on Cadence

Lecture 6. Tutorial on Cadence Lecture 6. Tutorial on Cadence Virtuoso Schematic Editor Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org Schematic Editor Schematic editor (e.g. Cadence Virtuoso)

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014 Creating Xnets for Resistor Packs in Allegro PCB Editor Product Version SPB16.6 April 2, 2014 Copyright Statement 2014 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence

More information

GLAST Silicon Microstrip Tracker Status

GLAST Silicon Microstrip Tracker Status R.P. Johnson Santa Cruz Institute for Particle Physics University of California at Santa Cruz Mechanical Design Detector Procurement Work list for the Prototype Tracker Construction. ASIC Development Hybrids

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support

3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support 3D Process Modeling - A Novel and Efficient Tool for MEMS Foundry Design Support Gisbert Hölzer, Roy Knechtel X-FAB Semiconductor Foundries, AG Stephen Breit, Gerold Schropfer Coventor, Inc. Overview A

More information

0.35um design verifications

0.35um design verifications 0.35um design verifications Path end segment check (END) First check is the end segment check, This error is related to the routing metals when routing is done with a path. The finish of this path can

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris MEMS Design & Simulation Challenges Overview Simulation Challenges and Approaches Validation Case Studies

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Dr. Henning Schröder, Fraunhofer IZM Dr. Henning Schröder Fraunhofer IZM, Berlin fon: ++49 30 46403-277, fax:

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Analyzing Amplifier's Settling Time

Analyzing Amplifier's Settling Time Analyzing Amplifier's Settling Time The standard simulation methodology to test for settling time of an amplifier is to step the input voltage over the relevant input range and measure the time taken for

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Key Feature Summary: Altium Designer 14

Key Feature Summary: Altium Designer 14 Altium Designer 14 Enhanced Layer Stack Manager The ability to define multiple, complex layer stacks in a single design. With different stack ups used in different regions of the PCB. With greater flexibility

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

EDA: Electronic Design Automation

EDA: Electronic Design Automation EDA: Electronic Design Automation Luis Mateu Contents What is EDA The Phases of IC design Opportunities for parallelism 2006 Synopsys, Inc. (2) Electronic Design Automation? The software tools engineers

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Photonics design tool for advanced CMOS nodes

Photonics design tool for advanced CMOS nodes IET Optoelectronics Review Article Photonics design tool for advanced CMOS nodes ISSN 1751-8768 Received on 7th January 2015 Revised on 29th April 2015 Accepted on 18th May 2015 doi: 10.1049/iet-opt.2015.0003

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Cadence Design Systems

Cadence Design Systems Cadence Design Systems Analog Mixed-Signal Foundation Flow (AMSFF) Cadence 45nm Generic Standard Cells User Guide 2014 April Cadence Design Systems Page 1 of 32 CONFIDENTIAL NOTICE This document contains

More information

Collaborative Analog Circuit Development

Collaborative Analog Circuit Development A Schematic Symbol Library for Collaborative Analog Circuit Development Across Multiple Process Technologies Jaeha Kim 1, Metha Jeeradit 1, Aida Varzaghaniaghani 2, Ying-Min Ingrid Huang 3 1 Stanford University,

More information