Basic ARM Modules and Systems

Size: px
Start display at page:

Download "Basic ARM Modules and Systems"

Transcription

1 Speaker: Kun-Bin Lee Directed by Prof. Chein-Wei Jen Department of Electronics Engineering National Chiao Tung University {kblee, Dec. 5, 2002

2 SoC Development Specification System Level Design Hardware Development High Level Algorithm Model C/C++/COSSAP/VCC/MATLAB Hardware/Software Partition N2C/VCC Communication Refinement N2C/Port-C/VCC Front End Back End Chip Hardware/Software Coverification N2C/Seamless/"Q/Bridge" RTOS WinCE/VxWorks API Device Driver Driveway Embedded Software Software Development 1/28

3 Lab Organization (Current Status in NCTU) Application Profiling Virtual Prototyping Embedded SW Authoring Digital IP Authoring RTOS µhal Driver Getting Start with ADS Working with AXD Software Quality Measurement ARM Integrator Environment µc/os-ii Rapid Prototyping 2/28

4 Semi- HW/SW Co-verification Apps + APIs + HALs + HW models HW models are less accurate (cycle approximate) Verify driver and HW/SW synchronization Spec. Tracer Profiler Page table ARM ISS Memory SW+HW modeling ARMulator/ Mentor CVE SW modeling + HW HDL Simulator Semi- HW/SW Coverification Reuse HAL & Verification Model Two teams: Design & Verification teams DMA Timer Interupter Controller JPEG HW/SW Coverification Mentor CVE (Apps+ APIs +HALs) + (System infra.+ DUT) Verify driver and HW/SW synchronization Both HW & SW can be timing accurate FPGA ARM Integrator/ CIC DB Tapeout Host Commander instead of ISS (host commands) + (System infra.+ DUT) SW is less accurate Verifiy HW and SW synchronization (polling, interrupt, memory-maped I/O) Transactions Read(,,,); Write(,,,); IDLE(,,);... do Read addr = 0xE8 data= 0x27 CLK control WR RDATA WDATA do Write addr = 0xFF data = 0x12 Transactions to signals DUV Signals 3/28

5 Consistent Models in Different Stages Application Programs, e.g., JPEG encoder BS-lh CVS BH-ls CVS HW/SW Coverification ISS commander ISS +BFM Os, Driver, API, HAL Application-specific IP, e.g., JPEG encoder, MPEG4 Shape Encoder Mmeory Organization, e.g., Cache, SRAM, ROM, SDRAM Basic System Peripherals, e.g., timer, interrupt controller, DMA Bus Infrastructure, e.g., Arbiter, Decoder, Bridge Standard I/O, e.g., UART, GPIO External Model, e.g., UART external model, off-chip memory Performance Moniter, e.g., Profiler, Stack Tracker Protocol Moniter, e.g., Bus protocol checker 4/28

6 Lab Module: Software Development Familiarize with ARM software development tools, ADS Project management Configuring the settings of build targets for your project Writing code for ARM-based platform design Software cost estimation The cost of a program includes Read Only (RO) data, Read Write (RW) data and Zero-Initialized (ZI) data Mixed instruction sets, ARM and Thumb interworking, is learned to balance the performance and code density of an application. Profiling utility can be used to estimate percentage time of each function in an application Memory configuration E.g., an embedded system might use fast, 32-bit RAM for performancecritical code, such as interrupt handlers and the stack, slower 16-bit RAM for application RW data, and ROM for normal application code Debug skills to be used to debug both software of processor and memory-mapped hardware design running at the target platform 5/28

7 Getting Start with ADS Existing files/library Creating a new (header) file using CodeWarrior's built-in editor Creating a new project from ARM project stationery Adding source files to the project Configuring the settings of build targets Building the project Debugging the project 6/28

8 Working with AXD Set breakpoints and watchpoints Locate, examine and change the contents of variables, registers and memory Using the command line interface to automate repetitive tasks (advanced user) 7/28

9 Software Quality Measurement Memory requirement of the program Profiling: build up a picture of the percentage of time spent in each procedure. Evaluate software performance prior to implement on hardware Writing efficient C for ARM cores ARM/Thumb interworking Coding styles 8/28

10 Application Code and Data Size armlink offers two options to provide the relevant information: -info sizes (sizes of all objects) -info totals (summary only) ============================================================ Image component sizes Code RO Data RW Data ZI Data Debug Object Totals Library Totals ============================================================= Code RO Data RW Data ZI Data Debug Grand Totals ============================================================= Total RO Size(Code + RO Data) ( 51.49kB) Total RW Size(RW Data + ZI Data) 300 ( 0.29kB) Total ROM Size(Code + RO Data + RW Data) ( 51.49kB) ============================================================= The size of code/data in an ELF image can be viewed using fromelf z a library can be viewed using armar sizes 9/28

11 ARM and Thumb Code Size Simple C routine if (x>=0) return x; else return -x; The equivalent ARM assembly Iabs CMP r0,#0 ;Compare r0 to zero RSBLT r0,r0,#0 ;If r0<0 (less than=lt) then do r0= 0-r0 MOV pc,lr ;Move Link Register to PC (Return) The equivalent Thumb assembly CODE16 ;Directive specifying 16-bit (Thumb) instructions labs CMP r0,#0 ;Compare r0 to zero BGE return ;Jump to Return if greater or ;equal to zero NEG r0,r0 ;If not, negate r0 return MOV pc,lr ;Move Link register to PC (Return) 10/28

12 Memory Map and Size Considerations The linker calculates the ROM and RAM requirements for code and data as follows: ROM: Code size + RO data + RW data RAM: RW Data + ZI data. You may wish to copy code from ROM into faster RAM, which will also increase the RAM requirements Placing the stacks in zerowait state, 32-bit memory onchip will significantly improve over -8 or 16- bit off-chip memory RAM ROM Default memory map 11/28

13 ARM Profiler About Profiling: Profiler samples the program counter and computes the percentage time of each function spent. Flat Profiling: If only pc-sampling info. is present. It can only display the time percentage spent in each function excluding the time in its children. Flat profiling accumulates limited information without altering the image Call graph Profiling: If function call count info. is present. It can show the approximations of the time spent in each function including the time in its children. Extra code is added to the image Limitations: Profiling is NOT available for code in ROM, or for scatter loaded images. No data is gathered for programs that are too small. 12/28

14 Profiler Command-line Options The command syntax is as follows: armprof [-parent -noparent] [-child -nochild] [-sort options] prf_file Sample Output Name cum% self% desc% calls main 17.69% 60.06% 1 insert_sort 77.76% 17.69% 60.06% 1 strcmp 60.06% 0.00% qs_string_compare 3.21% 0.00% shell_sort 3.46% 0.00% insert_sort 60.06% 0.00% strcmp 66.75% 66.75% 0.00% cumulative self descendants calls 13/28

15 In ARM Macrocell JTAG and non-amba signals Inst. & data EmbeddedICE & JTAG ARM Core Inst. & data cache Virtual Address MMU CP15 Write Buffer Physical Address AMBA Interface AMBA Address AMBA Data 14/28

16 Cycle Types, Von Neuman Cores N-cycles S-cycles I-cycles C-cycles Total Non-sequential cycle. The ARM core requests a transfer to or from an address which is unrelated to the address used in the preceding cycle. Sequential cycle. The ARM core requests a transfer to or from an address which is either the same, or one word or one-half-word greater than the preceding address. Internal cycle. The ARM core does not require a transfer, as it is performing an internal function, and no useful prefetching can be performed at the same time. Coprocessor register transfer cycle. The ARM core wishes to use the data bus to communicate with a coprocessor, but does not require any action by the memory system. The sum of the S-Cycles, N-Cycles, I-Cycles and C-Cycles. 15/28

17 Map File If no map file is specified: ARMulator will use a 4GB bank of ideal memory, i.e., no wait states. The map file defines regions of memory, and, for each region: The address range to which that region is mapped. The data bus width (in bytes). The access times for the memory region (in ns) armsd.map typically contains something like: ROM 2 R 150/ / RAM 4 RW 100/65 100/65 Columns are (left to right): start address (in hex) access type (read-only or read/write) length (in hex) read timing in ns (NON-Seq / Seq) name writing timing in ns (NON-Seq / Seq) width (1, 2, or 4 bytes) 16/28

18 Configure for Target System 17/28 ARMulator startup Message Cached core additional statistics

19 Cached with different clock domains 18/28 Dhrystone Analysis TCM on ARM966E-S

20 Lab Module: ARM Integrator Environment ARM Integrator: A set of pre-built, well-defined, wellverified hardware and software components Standalone/Semishoting Resource access uhal or memory mapped device Polling and interrupt Memory usage Performance & constraint of different types of memory (SSRAM, SDRAM, and Flash, and the cache) Data alignment and data layout (in which type of memory) Available data bus and memory bandwidth Peripherals Detail the timers and interrupt controller 19/28

21 Lab Module: Virtual Prototyping Features Trade-off by modifying system parameters & checking results Develop & test device drivers Test the correctness of compiler generated code Visualize behavior of system and peripherals Test the correctness of application algorithms Memory Model USB Model Codec Model BLC Model CPU ISS CPU Debugger (GUI) UART Model Intr cntrl Model Parallel I/O Model Timer Model CPU ICE Rapid Prototype 20/28

22 Building Soft Prototype Requirement Instruction Set Simulator (ISS) with a capability to interface C models of the peripherals C models of the peripherals Processor debugger Limitations Limited capacity Limited speed Accuracy of models synchronization Study ISS Features Check for C Model Interface Support ISS Is Interface Support Yes Create/Modify C Models No Compile Run the Application Debug Errors? No Final Software No Soft Prototype Write/Modify the Application Software Yes 21/28

23 In This Lab Procedure to add the hardware models to ARMulator Verify the hardware models Example hardware models in this lab Memory-mapped IP: timer, MAC Coprocessor: MAC configure the ARMulator to integrate desired model(s) Write your model in C language Build the new model By creating *.dll file Write your *.dsc file Modify default.ami Modify peripherals.ami 22/28

24 Lab Module: RTOS Concept of RTOS Features of µc/os-ii Model ARMulator as ARM Integrator platform Port µc/os-ii to ARMulator with µhal Port applications to µc/os-ii Partition original program into tasks Necessary coding changes Insert system calls into tasks Create tasks and resources in main function Driver authoring 23/28

25 Lab Module: Digital IP Authoring HW/SW partition under the Performance analysis of profiling Architecture exploration Power Attached to a well-defined Area on-chip bus HW/SW synchronization Robust design Coding style: Reuse Methodology Manual, FPGA Reuse Field Guide Verification coverage FPGA proven Test Clocking Flexibility 24/28

26 Coverage-Driven Verification Functional Coverage Determines if all the functionality was tested Metrics are user-defined (explicit) Strengths Complete expressiveness Cross-correlation, and multicycle scenarios Objective measure of progress in regards to test plan Identifies new holes by crossing existing items Weaknesses Only as good as the coverage model Manual effort is required to implement the metrics Code Coverage Determines if all the implementation was tested Metrics are defined by the source code (implicit) Line/block, events, toggle Strengths Reveals untested logic Reveals holes in functional test plan No manual effort is required to implement the metrics Weakness No cross correlations No multi-cycle scenarios Manual effort is required to interpret results 25/28

27 In the Digital IP Authoring Lab IP authoring Algorithm and architecture exploration in IP core design RTL coding guidelines for IP authoring AMBA-compliant IP Hardware/software coordination Verification Identifies bugs or non-synthesizable constructs before emulation. Identify the areas of a design that have yet to be fully simulated. Identifies the smallest set of tests that will meet verification goals AMBA AHB Property Checking 26/28

28 Lab Module: Rapid Prototyping Rapid prototyping Already ready hardware resource in LM Procedure to configure the hardware design to LM and software to CM 27/28

29 Summary Assignments are finished Code development Debugging and evaluation Core peripherals Real-time OS On-chip bus Future work Seamlessly combine all lab modules (includes NTU and NCKU) Organize the material such that the lab modules can be taught in a great many ways Toward platform-based SoC design lab 28/28

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design

ASIC Logic. Speaker: Juin-Nan Liu. Adopted from National Chiao-Tung University IP Core Design ASIC Logic Speaker: Juin-Nan Liu Adopted from National Chiao-Tung University IP Core Design Goal of This Lab Prototyping Familiarize with ARM Logic Module (LM) Know how to program LM Outline Introduction

More information

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授.

Graduate Institute of Electronics Engineering, NTU. ASIC Logic. Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授. ASIC Logic Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 May 21, 2003 PP. 2 Prototyping Goal of This Lab Familiarize with ARM Logic Module (LM) Know how to program LM PP. 3 Introduction ARM

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Rapid Prototyping.

Rapid Prototyping. Lab 6 Rapid Prototyping C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Lab TA: Nelson Yen-Chung Chang VLSI Signal Processing Group Department of Electronics Engineering National Chiao Tung University Outline

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Modular ARM System Design

Modular ARM System Design An ARM Approved Training Partner for more than 7 years, Doulos has delivered ARM training in more than half of the world's top ten semiconductor companies. Doulos is the only ARM Approved Training partner

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs V8-uRISC 8-bit RISC Microprocessor February 8, 1998 Product Specification VAutomation, Inc. 20 Trafalgar Square Nashua, NH 03063 Phone: +1 603-882-2282 Fax: +1 603-882-1587 E-mail: sales@vautomation.com

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

RM3 - Cortex-M4 / Cortex-M4F implementation

RM3 - Cortex-M4 / Cortex-M4F implementation Formation Cortex-M4 / Cortex-M4F implementation: This course covers both Cortex-M4 and Cortex-M4F (with FPU) ARM core - Processeurs ARM: ARM Cores RM3 - Cortex-M4 / Cortex-M4F implementation This course

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3. September 5, 2007 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

ECE332, Week 2, Lecture 3

ECE332, Week 2, Lecture 3 ECE332, Week 2, Lecture 3 September 5, 2007 1 Topics Introduction to embedded system Design metrics Definitions of general-purpose, single-purpose, and application-specific processors Introduction to Nios

More information

SOC Design Lab. Adopted from National Chiao-Tung University IP Core Design

SOC Design Lab.  Adopted from National Chiao-Tung University IP Core Design SOC Design Lab http://twins.ee.nctu.edu.tw/courses/soclab_04/index.html Adopted from National Chiao-Tung University IP Core Design Outline Introduction to SoC ARM-based SoC and Development Tools SoC Labs

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Lab-1: Profiling/Optimizing Video Decoder Using ADS. National Chiao Tung University Chun-Jen Tsai 3/3/2011

Lab-1: Profiling/Optimizing Video Decoder Using ADS. National Chiao Tung University Chun-Jen Tsai 3/3/2011 Lab-1: Profiling/Optimizing Video Decoder Using ADS National Chiao Tung University Chun-Jen Tsai 3/3/2011 Profiling MPEG-4 SP Decoder Goal: Profiling and optimizing the MPEG-4 video decoder, m4v_dec Tasks:

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Core Peripherals. Speaker: Tzu-Wei Tseng. Adopted from National Chiao-Tung University IP Core Design. SOC Consortium Course Material

Core Peripherals. Speaker: Tzu-Wei Tseng. Adopted from National Chiao-Tung University IP Core Design. SOC Consortium Course Material Core Peripherals Speaker: Tzu-Wei Tseng Adopted from National Chiao-Tung University IP Core Design SOC Consortium Course Material Goal of This Lab Familiarize with ARM Hardware Development Environment

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core DQ8051 Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core COMPANY OVERVIEW Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

Contents ASIC Logic Overview Background Information Rapid Prototyping with Logic Module Basic Platforms: AHB and

Contents ASIC Logic Overview Background Information Rapid Prototyping with Logic Module Basic Platforms: AHB and Contents ASIC Logic... 1 1. Overview...1 2. Background Information...1 2.1. Rapid Prototyping with Logic Module...1 2.2. Basic Platforms: AHB and ASB...2 2.3. Logic Module Registers...4 2.4. Interrupt

More information

Integrated Development Environment

Integrated Development Environment Integrated Development Environment WWW.ANDESTECH.COM 1 IDE Page 2 2 Toolchains IDE AndESLive Simulator AICE AndESLive Builder AndeShape AndeSight AndESLive Page 3 3 AndeSight IDE Window View Perspective

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

CISC RISC. Compiler. Compiler. Processor. Processor

CISC RISC. Compiler. Compiler. Processor. Processor Q1. Explain briefly the RISC design philosophy. Answer: RISC is a design philosophy aimed at delivering simple but powerful instructions that execute within a single cycle at a high clock speed. The RISC

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Cortex-R5 Software Development

Cortex-R5 Software Development Cortex-R5 Software Development Course Description Cortex-R5 software development is a three days ARM official course. The course goes into great depth, and provides all necessary know-how to develop software

More information

)8-,768'HY.LW 2YHUYLHZ. )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein Dreieich-Buchschlag, Germany

)8-,768'HY.LW 2YHUYLHZ. )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein Dreieich-Buchschlag, Germany )8-,768'HY.LW 2YHUYLHZ )XMLWVX0LNURHOHNWURQLN*PE+ Am Siebenstein 6-10 63303 Dreieich-Buchschlag, Germany Revision: V1.0 Date: 05.08.1999 Introduction to FUJITSU Development Kit for 16LX CPU family DevKit16

More information

2001 Altera Corporation (1)

2001 Altera Corporation (1) 2001 Altera Corporation (1) SOPC Design Using ARM-Based Excalibur Devices Outline! ARM-based Devices Overview! Embedded Stripe! Excalibur MegaWizard! Verification Tools Bus Functional Model Full Stripe

More information

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform Youn-Long Lin Department of Computer Science National Tsing Hua University Hsin-Chu, TAIWAN 300 ylin@cs.nthu.edu.tw 2006/08/16

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

IP Core Design. Lab TA:Tzung-Shian Yang

IP Core Design. Lab TA:Tzung-Shian Yang Lab 4 IP Core Design C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Lab TA:Tzung-Shian Yang VLSI Signal Processing Group Department of Electronics Engineering National Chiao Tung University Outline Introduction

More information

ARM ARCHITECTURE. Contents at a glance:

ARM ARCHITECTURE. Contents at a glance: UNIT-III ARM ARCHITECTURE Contents at a glance: RISC Design Philosophy ARM Design Philosophy Registers Current Program Status Register(CPSR) Instruction Pipeline Interrupts and Vector Table Architecture

More information

AndeSight. User Manual. Working with AndESLive. Version 1.0

AndeSight. User Manual. Working with AndESLive. Version 1.0 AndeSight User Manual Working with AndESLive Version 1.0 Table of Contents AndeSight User Manual PREFACE... 2 CHAPTER 1 INTRODUCTION AND OVERVIEW... 3 1.1 ANDESIGHT OVERVIEW... 4 1.2 IDE OVERVIEW... 4

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture.

ARM CORTEX-R52. Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. ARM CORTEX-R52 Course Family: ARMv8-R Cortex-R CPU Target Audience: Engineers and technicians who develop SoCs and systems based on the ARM Cortex-R52 architecture. Duration: 4 days Prerequisites and related

More information

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective LS6410 Hardware Design Perspective 1. S3C6410 Introduction The S3C6410X is a 16/32-bit RISC microprocessor, which is designed to provide a cost-effective, lowpower capabilities, high performance Application

More information

Simulink -based Programming Environment for Heterogeneous MPSoC

Simulink -based Programming Environment for Heterogeneous MPSoC Simulink -based Programming Environment for Heterogeneous MPSoC Katalin Popovici katalin.popovici@mathworks.com Software Engineer, The MathWorks DATE 2009, Nice, France 2009 The MathWorks, Inc. Summary

More information

ARM Processors ARM ISA. ARM 1 in 1985 By 2001, more than 1 billion ARM processors shipped Widely used in many successful 32-bit embedded systems

ARM Processors ARM ISA. ARM 1 in 1985 By 2001, more than 1 billion ARM processors shipped Widely used in many successful 32-bit embedded systems ARM Processors ARM Microprocessor 1 ARM 1 in 1985 By 2001, more than 1 billion ARM processors shipped Widely used in many successful 32-bit embedded systems stems 1 2 ARM Design Philosophy hl h Low power

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

Configurable and Extensible Processors Change System Design. Ricardo E. Gonzalez Tensilica, Inc.

Configurable and Extensible Processors Change System Design. Ricardo E. Gonzalez Tensilica, Inc. Configurable and Extensible Processors Change System Design Ricardo E. Gonzalez Tensilica, Inc. Presentation Overview Yet Another Processor? No, a new way of building systems Puts system designers in the

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

The ARM10 Family of Advanced Microprocessor Cores

The ARM10 Family of Advanced Microprocessor Cores The ARM10 Family of Advanced Microprocessor Cores Stephen Hill ARM Austin Design Center 1 Agenda Design overview Microarchitecture ARM10 o o Memory System Interrupt response 3. Power o o 4. VFP10 ETM10

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Programming in the MAXQ environment

Programming in the MAXQ environment AVAILABLE The in-circuit debugging and program-loading features of the MAXQ2000 microcontroller combine with IAR s Embedded Workbench development environment to provide C or assembly-level application

More information

Prof. An-Yeu (Andy) Wu 吳安宇教授. Graduate Institute of Electronics Engineering, National Taiwan University. For SOC Design Lab, 09/17/2008

Prof. An-Yeu (Andy) Wu 吳安宇教授. Graduate Institute of Electronics Engineering, National Taiwan University. For SOC Design Lab, 09/17/2008 SoC Overview and ARM Integrator Prof. An-Yeu (Andy) Wu 吳安宇教授 Graduate Institute of Electronics Engineering, National Taiwan University For SOC Design Lab, 09/17/2008 Modified from National Chiao-Tung University

More information

ARM Processor Architecture (II)

ARM Processor Architecture (II) ARM Processor Architecture (II) Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 Graduate Institute of Electronics Engineering, National Taiwan University Modified from National Chiao-Tung University

More information

Effective Verification of ARM SoCs

Effective Verification of ARM SoCs Effective Verification of ARM SoCs Ron Larson, Macrocad Development Inc. Dave Von Bank, Posedge Software Inc. Jason Andrews, Axis Systems Inc. Overview System-on-chip (SoC) products are becoming more common,

More information

Standard IO. Speaker: Juin-Nan Liu. Adopted from National Taiwan University SoC Design Laboratory. SOC Consortium Course Material

Standard IO. Speaker: Juin-Nan Liu. Adopted from National Taiwan University SoC Design Laboratory. SOC Consortium Course Material SOC Consortium Course Material Standard IO Speaker: Juin-Nan Liu Adopted from National Taiwan University SoC Design Laboratory Goal of This Lab Familiarize with ARM I/O architecture Know what Semihosting

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

OUTLINE. STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and Pipelining Cortex-M0 Programming Model Toolchain and Project Structure

OUTLINE. STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and Pipelining Cortex-M0 Programming Model Toolchain and Project Structure ARCHITECTURE AND PROGRAMMING George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and

More information

Implementing Secure Software Systems on ARMv8-M Microcontrollers

Implementing Secure Software Systems on ARMv8-M Microcontrollers Implementing Secure Software Systems on ARMv8-M Microcontrollers Chris Shore, ARM TrustZone: A comprehensive security foundation Non-trusted Trusted Security separation with TrustZone Isolate trusted resources

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

Rapidly Developing Embedded Systems Using Configurable Processors

Rapidly Developing Embedded Systems Using Configurable Processors Class 413 Rapidly Developing Embedded Systems Using Configurable Processors Steven Knapp (sknapp@triscend.com) (Booth 160) Triscend Corporation www.triscend.com Copyright 1998-99, Triscend Corporation.

More information

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview M J Brockway January 25, 2016 UM10562 All information provided in this document is subject to legal disclaimers. NXP B.V. 2014. All

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

EE4380 Microprocessor Design Project

EE4380 Microprocessor Design Project EE4380 Microprocessor Design Project Fall 2002 Class 1 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Introduction What is a Microcontroller? Microcontroller

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Universität Dortmund. ARM Architecture

Universität Dortmund. ARM Architecture ARM Architecture The RISC Philosophy Original RISC design (e.g. MIPS) aims for high performance through o reduced number of instruction classes o large general-purpose register set o load-store architecture

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 10 Device Interface Drivers and MMIO October 29, 2015 Sam Siewert MMIO Interfacing to Off-Chip Devices Sam Siewert 2 Embedded I/O (HW View) Analog I/O DAC analog output:

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

5. On-chip Bus

5. On-chip Bus 5. On-chip Bus... 5-1 5.1....5-1 5.2....5-1 5.2.1. Overview of the AMBA specification...5-1 5.2.2. Introducing the AMBA AHB...5-2 5.2.3. AMBA AHB signal list...5-3 5.2.4. The ARM-based system overview...5-6

More information

Design and Application of a Reconfigurable Embedded system

Design and Application of a Reconfigurable Embedded system Eighth International Conference on Intelligent Systems Design and Applications Design and Application of a Reconfigurable Embedded system Ching-Biau Tzeng, Tzuu-Shaang Wey*, Li-Dao Fang Department of Electronic

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

SoC Verification Strategies for Embedded Systems Design

SoC Verification Strategies for Embedded Systems Design SOC Design Conference SoC Verification Strategies for Embedded Systems Design November 5-6, 2003/ Seoul Chong-Min Kyung, KAIST 1 Various Embedded Mobile Systems Data Processing Consumer Desktop PC DVC

More information

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs

Co-Design and Co-Verification using a Synchronous Language. Satnam Singh Xilinx Research Labs Co-Design and Co-Verification using a Synchronous Language Satnam Singh Xilinx Research Labs Virtex-II PRO Device Array Size Logic Gates PPCs GBIOs BRAMs 2VP2 16 x 22 38K 0 4 12 2VP4 40 x 22 81K 1 4

More information

RM4 - Cortex-M7 implementation

RM4 - Cortex-M7 implementation Formation Cortex-M7 implementation: This course covers the Cortex-M7 V7E-M compliant CPU - Processeurs ARM: ARM Cores RM4 - Cortex-M7 implementation This course covers the Cortex-M7 V7E-M compliant CPU

More information

Application Note. Flash Programming with RealView Debugger. Document number: ARM DAI 110A Issued: April 2003 Copyright ARM Limited 2003

Application Note. Flash Programming with RealView Debugger. Document number: ARM DAI 110A Issued: April 2003 Copyright ARM Limited 2003 Application Note 110 Flash Programming with RealView Debugger Document number: Issued: April 2003 Copyright ARM Limited 2003 Copyright 2003 ARM Limited. All rights reserved. Application Note 110 Flash

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Section 6 Blackfin ADSP-BF533 Memory

Section 6 Blackfin ADSP-BF533 Memory Section 6 Blackfin ADSP-BF533 Memory 6-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD0 32 LD1 32 L1 Data Memory SD32 DMA Mastered 32

More information

Building Whole Systems: an Overview

Building Whole Systems: an Overview 1 (29) Building Whole Systems: an Overview In this lecture: System specification, design, and synthesis Hardware/software co-design Work tips Design challenges and trade-offs 2 (29) Ideal flow (Informal)

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Chapter 4. Enhancing ARM7 architecture by embedding RTOS

Chapter 4. Enhancing ARM7 architecture by embedding RTOS Chapter 4 Enhancing ARM7 architecture by embedding RTOS 4.1 ARM7 architecture 4.2 ARM7TDMI processor core 4.3 Embedding RTOS on ARM7TDMI architecture 4.4 Block diagram of the Design 4.5 Hardware Design

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Introduction to Netburner Network Development Kit (NNDK) MOD5282

Introduction to Netburner Network Development Kit (NNDK) MOD5282 Introduction to Netburner Network Development Kit (NNDK) MOD5282 Picture of Netburner Development Board: Connections Required: 1) TTY Serial Cable to connect to Host PC Port 2 (or Port 1) 2) Ethernet Cable:

More information