Intel Cyclone 10 LP FPGA Webinar

Size: px
Start display at page:

Download "Intel Cyclone 10 LP FPGA Webinar"

Transcription

1 Intel Cyclone 10 LP FPGA Webinar Uniquest Train the Trainer February 2018

2 Learn about Intel Cyclone 10 LP FPGA Intel s next generation low cost and low power FPGA Get hands on experience with hardware and software required to build an FPGA and run an embedded processor system Programmable Solutions Group Intel Confidential 2

3 Intel Cyclone 10 LP FPGA Webinar Agenda 10 minutes 1. Intel Cyclone 10 LP FPGA product & target applications 2. Overview of Intel Cyclone 10 LP FPGA evaluation kits 3. Device, SW and evaluation kit rollout status 1. My first FPGA design using Nios II 20 min 20 minutes Programmable Solutions Group Intel Confidential 3

4 Introduction to Intel Cyclone 10 LP FPGA Improved number of I/O / watt Up to 50% lower power Simplified power distribution network Saves board costs, board space, & design time Half the Power at Half the Cost (1) (1) As compared to previous generation Cyclone V family Programmable Solutions Group Intel Confidential 4

5 Intel Cyclone 10 LP FPGA Device Features Built on a 60 nm TSMC process technology Supports highly integrated, low power and efficient Empirion PowerSoCs Only requires two core power supplies Supports flexible and integrated Nios II processor Packages starting from 8x8 mm up to 29X29 mm Densities beginning from 6KLE up to 120 KLE Industrial & Automotive qualifications IEC 61508, AEC-Q100 Grade 2 Low cost and low risk proven technology Programmable Solutions Group Intel Confidential 5

6 Typical Intel Cyclone 10 LP FPGA - End Market and Applications Industrial IoT Medical Test & Measurement Automotive I/O Modules Interfacing Sensors Actuators Bridging Imaging Programmable Solutions Group Intel Confidential 6

7 Intel Cyclone 10 LP FPGA : Control Application Examples Market Trend: Abundance of evolving connectivity standards, impacts the scalability of: Static power System cost Sensor interfacing needs Voltage translation needs I/O Expansion System synchronization Enables a lower power, cost sensitive and flexible solution Programmable Solutions Group Intel Confidential 7

8 Intel Cyclone 10 LP FPGA : Motor Control Examples Market Trend: Need for greater integration and motor monitoring: Drive on a chip integration reduces system cost while increasing performance Scalable performance via more advanced control loops Functional safety growing in customer importance. Intel Cyclone 10 FPGA reduces overall cost of ownership Programmable Solutions Group Intel Confidential 8

9 Intel Cyclone 10 LP FPGA Dev Kit - 100% Powered by Enpirion USB_5V DC_5V EN5329QI 1.2V 2A VCCINT Intel Cyclone 10 LP FPGA Filter VCCD_PLL EP5358HUI 2.5V 0.6A VCCA EN5329QI 3.3V 2A VCCIO_3.3V EP5358HUI 1.8V 0.6A VCCIO_1.8V EP5358LUI VAR 0.6A VCCIO_VAR Power Tree for example reference only. Refer to FPGA Pin Connection Guidelines for exact sequencing requirements. Refer to FPGA datasheet for recommended operating conditions and voltage tolerances. Programmable Solutions Group Intel Confidential 9

10 Intel Cyclone 10 FPGA Silicon / Software / Kit Rollout- On Schedule 1Q2017 2Q2017 3Q2017 4Q2017 1Q2018 Cyclone 10 Advanced Information Brief & Pinouts Quartus Prime 17.0 Quartus Prime 17.1 GX Datasheets & Handbooks Cyclone 10 GX Dev Kit Shipping GX Silicon Rollout LP Datasheets & Handbooks LP Silicon Rollout Cyclone 10 LP Eval Kit Shipping Get started today Programmable Solutions Group Intel Confidential 10

11 Intel Cyclone 10 FPGA : Product Table Product Line 10CL006 10CL010 10CL016 10CL025 10CL040 10CL055 10CL080 10CL120 Logic Elements (LE) 6,000 10,000 16,000 25,000 40,000 55,000 80, ,000 M9K Memory Blocks Memory Block (Kb) ,134 2,340 2,745 3,888 18x18 Multipliers PLLs LVDS Channels (0.83 Gbps) Ball count, package size, ball pitch Package Options (number of I/O available) M164 (8X8 mm), 0.5 mm U256 (14x14 mm), 0.8 mm U484 (19x19 mm), 0.8 mm E144 (22x22 mm), 0.5 mm F484 (23x23 mm), 1.0 mm F780 (29x29 mm), 1.0 mm Programmable Solutions Group Intel Confidential 11

12 Hardware solutions and tools to enable technology evaluation and / or accelerate the customer design process Programmable Solutions Group Intel Confidential 12

13 Intel Cyclone 10 FPGA Board & Kit Introduction Cyclone 10 LP FPGA Evaluation kit I/O feature rich kit targeted at technology evaluation $99.95 price EK-10C025U256 Shipping September 2017 (1) Target Price (2) Open for bookings October 17 Programmable Solutions Group Intel Confidential 13

14 Intel Cyclone 10 LP FPGA Evaluation Kit - $99.95 Shipping Now Features: Intel Cyclone 10 LP 25KLE Intel MAX 10 System Manager Including USB Blaster II HyperRAM Ethernet 10/100/1000Mbps* Diligent PMOD connector Arduino Interface connector GPIO connector Push Buttons / DIP Switch's / Status LED s * Supported from Quartus Prime 17.1 onwards Programmable Solutions Group Intel Confidential 14

15 Intel Cyclone 10 LP FPGA Evaluation Kit JTAG Ethernet (1) 5 Volt Power (Optional) MAX 10 System Manager GPIO Header USB (Communications & Power) DIP Switches LED s Push Buttons Cyclone 10 LP HyperRAM Diligent PMOD Arduino (1) Supported from Quartus 17.1 onwards Programmable Solutions Group Intel Confidential 15

16 Use of Low-Pin-Count Memories When to use HyperRAM memories Where this is no requirement for DDR3/4 widths /speed Requires low access overhead Small footprint Target Market examples Automotive Clusters user settings Board Management fault logs Instrumentation data storage Embedded application storage Ideal EMIF devices for Cyclone 10 & MAX 10 FPGA s Programmable Solutions Group Intel Confidential 16

17 Advantages of HyperRAM? Controller IP FPGA (Cyclone 10 LP or MAX 10) P H Y IP Controller IP DRAM HyperRAM Item HyperRAM (1) DDR2 DDR3 # of pins 12 or 13 ~50 ~50 Controller IP size 400 LE s & 2x M9K s 6,000 LE s & 11x M9K s 6573 & 11x M9K s Licensing/ cost Synaptic Labs ($) PSG p/n = IP-SDRAM/HPDDR2 ($) PSG Altmemphy Performance 150 MHz (C10-LP) 167 MHz (CIV) 300 MHz (MAX10) Width x8 x4, x8, x16 x8, x16, x24 $0 Densities 64 Mb 128 Mb 256Mb (2017) 512 Mb 4 Gb 1 Gb 16 Gb (1) Synaptic L:abs soft IP core Programmable Solutions Group Intel Confidential 17

18 HyperBUS Memory Controller IP Synaptic Labs Supports HyperRAM & HyperFlash memories Low pin count 13 Low logic utilization Small packages 8x6mm Dual function Flash & Ram in same multi chip package variant available IP Core available as either Basic or Full versions OpenCore plus free evaluation licenses Licenses available direct from Synaptic Labs Request a license here Feature Basic Full Clock Speed (DDR) 100MHz 150MHz Device(s) 1x HyperRAM 2x HyperRAM or HyperFLASH LE Count M9K RAM Blks 0 2 Programmable Solutions Group Intel Confidential 18

19 Intel Cyclone 10 GX FPGA Development Board Features: 10CX220YF780E5G + Enpirion power MAX 10: USB blaster & BMC Memory DDR3: 2 GB 933 MHz Flash NOR Flash: 128 MB Interfaces FMC Connector PCIe Gen2 x4 USB 3.1 Gen2 Ethernet: 10G x2 Availability : December 2017 Programmable Solutions Group Intel Confidential 19

20 New Users Existing Users Demonstrate Ease of Use of FPGA by building and running an embedded system Demonstrate Intel Cyclone 10 FPGA device and board features Programmable Solutions Group Intel Confidential 20

21 Nios II Processor An overview TCM I-MEM CUSTOM INSTR I/F TCM D-MEM Add one or more embedded processors to FPGA design Royalty free, 32-bit RISC architecture, MHz (1), soft IP core, no external RAM or storage needed User-customizable processor Add or remove peripherals, as needed Support for real-time applications Configuration in under 10 ms Avionic, automotive & industrial compliant versions Extensive software ecosystem & support Cyclone 10 FPGA I$ D$ INT CNTRL JTAG DEBUG MMU HW BrkPt MPU Debug I & D TRACE EXCP CNTRL TRACE PORT (1) Dhrystones 2.1 benchmark (Intel Estimate) Programmable Solutions Group Intel Confidential 21

22 Flexible and integrated Nios II development flow Hardware Hardware Configuration HW Simulation Software System Information Configure & Integrate.sopcinfo Nios II SW enables ultimate system design flexibility and productivity SW Simulation Nios II Software Build Tools for Eclipse Compile & Debug Programmable Solutions Group Intel Confidential 22

23 My first FPGA design using Nios II processor Foundation Exercise Objective : 1. Demonstrate ease of use to a new user. New User 2. Build a Nios II processor based embedded hardware system, download it to the development board and run Hello world software program in C. Exercises : 1. Create basic Nios II Processor System using Qsys 2. Run Hello World on the Nios II Processor 3. Control LEDs from software SW/Tools requirements: 1. Quartus Prime Lite software v17.0 (or later) 2. Design files for exercises Programmable Solutions Group Intel Confidential 23

24 For more information go to altera.com and more Programmable Solutions Group Intel Confidential 24

25 Online Training Go to catalog and Filter on subject of interest, e.g. Nios II Online Courses Developing Software for the Nios II Processor: HAL Primer (OEMB1150) 20 Minutes Developing Software for the Nios II Processor: Nios II Software Build Tools for Eclipse (OEMB1128) 14 Minutes Nios II Software Build Tools for Eclipse and BSP Editor (Quartus II Software 10.0 Update) (ONSW1128) 26 Minutes Nios II Software Tools and Design Flow (ONIITOOLSDESIGN) 22 Minutes Using the MAX 10 User Flash Memory with the Nios II Processor (OMAXNIOS) 24 Minutes Using the Nios II Processor: Custom Components and Instructions (ONIICUS) 11 Minutes Using the Nios II Processor: Hardware Development (ONIIHW) 27 Minutes Using the Nios II Processor: Software Development (ONIISW) 10 Minutes Qsys II Online Courses Advanced System Design Using Qsys: Component & System Simulation (OAQSYSSIM) 28 Minutes Advanced System Design Using Qsys: Qsys System Optimization (OAQSYSOPT) 32 Minutes Advanced System Design Using Qsys: System Verification with System Console (OAQSYSSYSCON) 25 Minutes Advanced System Design Using Qsys: Utilizing Hierarchy in Qsys Designs (OAQSYSHIER) 22 Minutes Creating a System Design with Qsys (OQSYSCREATE) 37 Minutes Custom IP Development Using Avalon and AXI Interfaces (OQSYS3000) 113 Minutes Introduction to Qsys (OQSYS1000) 26 Minutes System Design with Qsys Pro (OQSYSPRO) 42 Minutes Programmable Solutions Group Intel Confidential 25

26 Intel Cyclone 10 - Design Examples Available Today Design Store Cyclone 10 LP FPGA Designs Evaluation Kit targeted 10 Designs Non targeted LP 4 Designs More designs added every day Programmable Solutions Group Intel Confidential 26

27 Intel is shipping Intel Cyclone 10 LP FPGA evaluation kits - Enabling the next generation of low cost and low power FPGA applications Intel Cyclone 10 FPGA Ease of programmability enables new FPGA users and gives time to market advantage to all FPGA users You can get started today! Programmable Solutions Group Intel Confidential 27

28 28

29 Programmable Solutions Group Intel Confidential 29

30 Intel FPGA : application specific The right performance and features for the right application Cloud, datacenter, and HPC Management, Sensors and edge devices performance Vision systems, and purpose-built, application-specific hardware Scalable and efficient computing performance Datacenter / CSP Acceleration Board Management Edge Compute Machine Vision Embedded Vision Robotics Datacenter Networking Military / Defense ADAS 5G Wireless Infrastructure Network Communications Military / Defense I/O Expansion Automobile sensors, traffic sensors Infotainment, ADAS, telematics Copyright 2017, Intel Corporation. All rights reserved.

31 Cyclone 10 LP FPGA : Part Number Decoder Family Variant Core Voltage Y = Standard voltage - LP = 1.2V Z = Low Voltage* - LP = 1.0V Pin / Ball Count L : LP Family Signature 10C L 025 Y U 256 I 7 G αα Suffix (optional) 10C : Cyclone 10 αα : Special (optional) Logic Density 006 : 6K LE - smallest 120 : 120K LE - largest Package Type M : 0.5mm BGA U : 0.8mm BGA F : 1.0mm BGA E : 0.5mm EQFP Operating Temperature C : Commercial I : Industrial A : Automotive (Tj = 0 C to 85 C) (Tj = -40 C to 100 C) (Tj = -40 C to 125 C) FPGA Fabric Speed Grade 6 : Fastest 8 : Slowest Package Designation G : RoHS 6 P : Leaded (1) (1) Contact factory Programmable Solutions Group Intel Confidential 31

32 So what s in the Kit? Plastic clam shell packing Evaluation Board USB Cable Card insert with quick start instructions Web Based Quick Start Web page altera.com\lp Programmable Solutions Group Intel Confidential 32

33 Other low cost kits Intel Max 10 FPGA Evaluation Kit $49.95 Intel Max 10 FPGA Evaluation Kit 50 - $125 Intel Max 10 FPGA Evaluation Kit - $ Arrow BeMicro MAX 10 Evaluation Kit - $30 Arrow DECA MAX 10 Evaluation Kit - $65 Arrow MAX1000 Evaluation Kit - $29 Take advantage - Get started today with one of the many low cost development kits! Programmable Solutions Group Intel Confidential 33

34 Intel Cyclone 10 LP FPGA Evaluation Kit On Line Getting Started Programmable Solutions Group Intel Confidential 34

35 HyperRAM IP and Low Power Measurement Foundation Exercise Objective : 1. Demonstrate product features to new and existing users. 2. Become familiar with Qsys, Nios SBT and the Nios II HAL. New Users Existing Users Exercises : 1. Build a system with the Synaptic Labs Hyperbus controller 2. Test the HyperRAM and accessing peripherals from software 3. Measure the board power consumption using the MAX10 ADCs SW/Tools requirements: 1. Quartus Prime Lite software v17.0 (or later) 2. Design files for exercises Programmable Solutions Group Intel Confidential 35

36 Engineer-to-Engineer Videos More how to videos to get started today. w-to-videos.html Programmable Solutions Group Intel Confidential 36

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

System Cache (CMS-T002/CMS-T003) Tutorial

System Cache (CMS-T002/CMS-T003) Tutorial Synaptic Labs' System Cache (CMS-T002/CMS-T003) Tutorial T006A: Arduino Style Nios II/e embedded system: A Qsys Nios II Reference design based on S/Labs' HBMC IP and S/Labs' System Cache for accelerating

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001A: A Qsys based Nios II Reference design with a simple self test of the HyperFlash and HyperRAM device using S/Labs' HBMC IP This tutorial

More information

Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial

Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001B: A Qsys based Nios II reference design with a simple Memory Bandwidth Benchmark of the HyperRAM device using S/Labs' HBMC IP This tutorial

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T002A: A Qsys based Nios II reference design using Intel s MSGDMA to benchmark memory copy operations on the HyperRAM device using S/Labs' HBMC

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005C: A Qsys based Nios II Reference design with a simple HyperFlash test device using S/Labs' HBMC IP and S/Labs' Memory Region Mapper IP This

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T005B: A Qsys based Nios II Reference design with a simple application running from HyperFlash and HyperRAM device using S/Labs' HBMC IP. The HyperRAM

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Synaptic Labs HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices

Synaptic Labs HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices Benjamin Gittins Chief Technical Officer Mbl: +995 551 026 588 b.gittins@synaptic-labs.com Synaptic Laboratories Ltd. Company ID 41272593 www.synaptic-labs.com info@synaptic-labs.com Monday, July 16, 2018

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T002A: A Qsys based Nios II reference design using Intel s MSGDMA to benchmark memory copy operations on the HyperRAM device using S/Labs' HBMC

More information

Cyclone III LS FPGAs Altera Corporation Public

Cyclone III LS FPGAs Altera Corporation Public Cyclone III LS FPGAs Introducing Cyclone III LS Devices Low power 200K LE for under 0.25 Watt TSMC 60-nm low-power (LP) process Quartus II software power-aware design flow Broadcast Industrial Military

More information

HyperBus Memory Controller (HBMC) Tutorial

HyperBus Memory Controller (HBMC) Tutorial Synaptic Labs' HyperBus Memory Controller (HBMC) Tutorial T001: A Qsys based Nios II Reference design with HelloWorld test running in HyperRAM device using S/Labs' HBMC IP This tutorial describes a simple

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Synaptic Labs (S/Labs) HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices

Synaptic Labs (S/Labs) HyperBus Memory Controller (HBMC) Tutorial for Intel FPGA devices Benjamin Gittins Chief Technical Officer Mbl: +995 551 026 588 b.gittins@synaptic-labs.com Synaptic Laboratories Ltd. Company ID 41272593 www.synaptic-labs.com info@synaptic-labs.com Monday, July 16, 2018

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

BeMicro Max 10 FPGA Evaluation Kit

BeMicro Max 10 FPGA Evaluation Kit BeMicro Max 10 FPGA Evaluation Kit Getting Started User Guide Version 14.0.2 11/24/2014 User Guide Table of Contents 1. OVERVIEW...2 1.1 Board Features... 2 1.2 Block Diagram... 3 1.3 Getting To Know Your

More information

Cyclone V Device Overview

Cyclone V Device Overview 2014.10.06 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

EasyGX. GX Development Kit Guide. Ver: 1.0. Cytech Technology A Macnica Company

EasyGX. GX Development Kit Guide. Ver: 1.0. Cytech Technology A Macnica Company EasyGX GX Development Kit Guide Ver: 1.0 Cytech Technology A Macnica Company www.cytech.com 2013-04-25 Copyrights Copyright 2013 Cytech Technology Ltd. All Rights Reserved 1 Reversion History Updated

More information

Customizable Flash Programmer User Guide

Customizable Flash Programmer User Guide Customizable Flash Programmer User Guide Subscribe Latest document on the web: PDF HTML Contents Contents 1. Customizable Flash Programmer Overview... 3 1.1. Device Family Support...3 1.2. Software Support...

More information

Intel Cyclone 10 LP FPGA Evaluation Kit User Guide

Intel Cyclone 10 LP FPGA Evaluation Kit User Guide Intel Cyclone 10 LP FPGA Evaluation Kit User Guide UG-20082 2017.08.23 Subscribe Send Feedback Contents Contents 1 Overview... 4 1.1 General Evaluation Kit Description... 4 1.2 Recommended Operating Conditions...

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

The Automotive-Grade Device Handbook

The Automotive-Grade Device Handbook The Automotive-Grade Device Handbook Subscribe AUT5V1 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Overview... 1-1 Altera Automotive-Grade Devices... 1-1 Altera Automotive Qualifications...

More information

Cornell Cup Tutorials

Cornell Cup Tutorials Cornell Cup Tutorials Online Tutorials Atom processor FPGA material Yocto tools 2 Atom processor For N2600 (CedarView) information: Based on 32nm process technology, the processor series feature new levels

More information

Synaptic Labs' Hyperbus Controller Design Guidelines

Synaptic Labs' Hyperbus Controller Design Guidelines Synaptic Labs' Hyperbus Controller Design Guidelines Table of Contents Introduction...1 1.0 Synaptic Labs' HBMC Controller IP Qsys Component...3 2.0 Typical S/Labs HBMC connection in Qsys...4 3.0 Typical

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

1. Overview for Cyclone V Device Family

1. Overview for Cyclone V Device Family 1. Overview for Cyclone V Device Family November 2011 CV-51001-1.1 CV-51001-1.1 Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements;

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

BeMicro Max 10 FPGA Evaluation Kit

BeMicro Max 10 FPGA Evaluation Kit BeMicro Max 10 FPGA Evaluation Kit Getting Started User Guide Version 14.0.2 10/3/2014 User Guide Table of Contents 1. OVERVIEW...2 1.1 Board Features... 2 1.2 Block Diagram... 3 1.3 Getting To Know Your

More information

Intel Cyclone 10 LP FPGA Evaluation Kit User Guide

Intel Cyclone 10 LP FPGA Evaluation Kit User Guide Intel Cyclone 10 LP FPGA Evaluation Kit User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Overview... 4 1.1 Evaluation Kit Description... 4 1.1.1 Evaluation Board

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Cyclone V Device Overview

Cyclone V Device Overview CV-500 Subscribe Feedback The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive)

NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM. Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVIDIA'S DEEP LEARNING ACCELERATOR MEETS SIFIVE'S FREEDOM PLATFORM Frans Sijstermans (NVIDIA) & Yunsup Lee (SiFive) NVDLA NVIDIA DEEP LEARNING ACCELERATOR IP Core for deep learning part of NVIDIA s Xavier

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

Arria V GX Transceiver Starter Kit

Arria V GX Transceiver Starter Kit Page 1 of 4 Arria V GX Transceiver Starter Kit from Altera Ordering Information Transceiver Starter Kit Contents Starter Board Photo Related Links The Altera Arria V GX Transceiver Starter Kit provides

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Board Update Portal based on Nios II Processor with EPCQ (Arria 10 GX FPGA Development Kit)

Board Update Portal based on Nios II Processor with EPCQ (Arria 10 GX FPGA Development Kit) Board Update Portal based on Nios II Processor with EPCQ (Arria 10 GX FPGA Development Kit) Date: 1 December 2016 Revision:1.0 2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY,

More information

Intel MAX 10 User Flash Memory User Guide

Intel MAX 10 User Flash Memory User Guide Intel MAX 10 User Flash Memory User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 User Flash Memory

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Synaptic Labs. HyperFlash Programmer for the Nios II Ecosystem. Introduction

Synaptic Labs. HyperFlash Programmer for the Nios II Ecosystem. Introduction Synaptic Labs HyperFlash Programmer for the Nios II Ecosystem User Manual An easy to use solution for programming the HyperFlash memory with Nios II based projects. Introduction Synaptic Labs HyperFlash

More information

Intel Cyclone 10 GX Device Overview

Intel Cyclone 10 GX Device Overview Intel Cyclone 10 GX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Intel Cyclone 10 GX Devices... 3 Summary of Intel Cyclone 10 GX

More information

NXP Cortex-M0 LPC1100L Design with a Cortex-M0 in a DIP package ASEE Tech Session. Sergio Scaglia (NXP Semiconductors) August 2012

NXP Cortex-M0 LPC1100L Design with a Cortex-M0 in a DIP package ASEE Tech Session. Sergio Scaglia (NXP Semiconductors) August 2012 NXP Cortex-M0 LPC1100L Design with a Cortex-M0 in a DIP package ASEE Tech Session Sergio Scaglia (NXP Semiconductors) August 2012 Agenda NXP Microcontroller Portfolio Cortex M0 LPC1100L Family Support/Resources

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

Qualcomm Wi-Fi Connectivity Selector Guide

Qualcomm Wi-Fi Connectivity Selector Guide Qualcomm Wi-Fi Connectivity Selector Guide Integrated multi-mode Wi-Fi solutionsfor simple, adaptive and seamless connectivity for the Internet of Things Qualcomm Wi-Fi, QCA400x, QCA401x, QCA402x and QCA4531

More information

Microsemi Secured Connectivity FPGAs

Microsemi Secured Connectivity FPGAs IoT Solutions Microsemi Secured Connectivity FPGAs SmartFusion2 SoC FPGAs Low Power Small Form Factors Scalable Security Secured Connectivity FPGAs Best in Class for IoT Infrastructure The IoT Infrastructure

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Embedded Design Handbook

Embedded Design Handbook Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Introduction... 6 1.1 Document Revision History... 6 2 First Time Designer's Guide... 7 2.1 FPGAs and Soft-Core Processors...

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

CPU Project in Western Digital: From Embedded Cores for Flash Controllers to Vision of Datacenter Processors with Open Interfaces

CPU Project in Western Digital: From Embedded Cores for Flash Controllers to Vision of Datacenter Processors with Open Interfaces CPU Project in Western Digital: From Embedded Cores for Flash Controllers to Vision of Datacenter Processors with Open Interfaces Zvonimir Z. Bandic, Sr. Director Robert Golla, Sr. Fellow Dejan Vucinic,

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

AN 807: Configuring the Intel Arria 10 GX FPGA Development Kit for the Intel FPGA SDK for OpenCL

AN 807: Configuring the Intel Arria 10 GX FPGA Development Kit for the Intel FPGA SDK for OpenCL AN 807: Configuring the Intel Arria 10 GX FPGA Development Kit for the Intel FPGA SDK for OpenCL Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Configuring the Intel Arria

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Enabling New Low-Cost Embedded System Using Cyclone III FPGAs

Enabling New Low-Cost Embedded System Using Cyclone III FPGAs Enabling New Low-Cost Embedded System Using Cyclone III FPGAs Unprecedented combination of low power, high functionality, and low cost to enable your new designs Agenda Historical perceptions of FPGAs

More information

Intel Stratix 10 Analog to Digital Converter User Guide

Intel Stratix 10 Analog to Digital Converter User Guide Intel Stratix 10 Analog to Digital Converter User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 STM32F429 Overview Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 Today - STM32 portfolio positioning 2 More than 30 product lines High-performance 398 CoreMark 120 MHz 150 DMIPS

More information

MAX10-SOM-50. User Manual

MAX10-SOM-50. User Manual MAX10-SOM-50 User Manual Contents 1.0 Overview... 3 2.0 MAX10-SOM-50 Features... 4 3.0 Mechanical View... 6 3.1 Top/Bottom View... 6 3.2 Footprint... 7 4.0 Power... 8 4.1 Power Generation Overview... 8

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Male Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB

More information

GAUSS OBC ABACUS 2017

GAUSS OBC ABACUS 2017 [] Table of contents Table of contents... 1 1. Introduction... 3 1.1. ABACUS Features... 3 1.2. Block Diagram... 6 2. Pinouts... 7 3. Inertial Measurement Unit Details... 10 3.1. Orientation of Axes...

More information

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8 CONTENTS CHAPTER 1 Introduction of the tnano Board... 2 1.1 Features...2 1.2 About the KIT...4 1.3 Getting Help...4 CHAPTER 2 tnano Board Architecture... 5 2.1 Layout and Components...5 2.2 Block Diagram

More information

Intel Cyclone 10 LP Device Design Guidelines

Intel Cyclone 10 LP Device Design Guidelines Intel Cyclone 10 LP Device Design Guidelines AN-800 2017.05.08 Subscribe Send Feedback Contents Contents...4 Design Flow... 4 System Specification... 5 Design Specifications...6 IP Selection...6 Qsys...

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Cyclone 10 GX Advance Information Brief

Cyclone 10 GX Advance Information Brief Cyclone 10 GX Advance Information Brief AIB-01028 2017.02.13 Subscribe Send Feedback Contents Contents... 3 Key Advantages of Cyclone 10 GX Devices...3 Summary of Cyclone 10 GX Features... 4 Cyclone 10

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

STM32 Cortex-M3 STM32F STM32L STM32W

STM32 Cortex-M3 STM32F STM32L STM32W STM32 Cortex-M3 STM32F STM32L STM32W 01 01 STM32 Cortex-M3 introduction to family 1/2 STM32F combine high performance with first-class peripherals and lowpower, low-voltage operation. They offer the maximum

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG ARM and x86 on Qseven & COM Express Mini Zeljko Loncaric, Marketing Engineer, congatec AG Content COM Computer-On-Module Concept Qseven Key Points The Right ARM Integration with Freescale i.mx6 Qseven

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION

FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION 2017.11 The term Functional Safety has become a topic of great interest. Functional Safety generally means that malfunctions of the operating systems or applications

More information

Excellent for XIP applications"

Excellent for XIP applications Synaptic Labs' Tiny System Cache (CMS-T003) Tutorial T001A: Boot from On-chip Flash: A Qsys based Nios II Reference design based on S/Labs' Tiny System Cache IP and Intel's On-chip Flash Memory Controller

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

Catapult: A Reconfigurable Fabric for Petaflop Computing in the Cloud

Catapult: A Reconfigurable Fabric for Petaflop Computing in the Cloud Catapult: A Reconfigurable Fabric for Petaflop Computing in the Cloud Doug Burger Director, Hardware, Devices, & Experiences MSR NExT November 15, 2015 The Cloud is a Growing Disruptor for HPC Moore s

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

PowerQUICC Simplifies Industrial Deterministic Protocols and Applications

PowerQUICC Simplifies Industrial Deterministic Protocols and Applications June, 2007 PowerQUICC Simplifies Industrial Deterministic Protocols and Applications Donna Imam, Industrial Market Development Manager Networking Systems Division, NCSG Outline Industrial market trends

More information

Solving functional safety challenges in Automotive with NOR Flash Memory

Solving functional safety challenges in Automotive with NOR Flash Memory Solving functional safety challenges in Automotive with NOR Flash Memory Sandeep Krishnegowda Marketing Director Flash Business Unit Cypress Semiconductor 1 Flash Memory Summit 2018 / Santa Clara, CA Automotive

More information