Advancing high performance heterogeneous integration through die stacking

Size: px
Start display at page:

Download "Advancing high performance heterogeneous integration through die stacking"

Transcription

1 Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013

2 The First Wave of 3D ICs Perfecting the 3-D chip R Colin Johnson 10/11/ :31 AM EDT You ve heard the hype: The foundation of semiconductor fabrication will be transformed over the next few years as multistory structures rise up from dice that today are planar After almost a decade of major semiconductor engineering efforts worldwide aimed at making the structures manufacturable, three-dimensional ICs are poised for commercialization starting next year several years behind schedule Page 2

3 Why Now? Market: Insatiable Bandwidth 34% CAGR 64 Exabytes/mo of IP Traffic Technology: Power Cost, IO 480 Gbps 10GbE, OTU-2 Multi Tera-Bits System (19Tbps) 400GbE/1TbE Power Growing density gap between is primary number limiting of logic factor gates and I/O SOC s & platforms: demand highest 15x drop in I/O-to-logic ratio by 2020 performance/watt Source: ITRS Page 3

4 What Does 3D Buy Us? Connectivity Logic RAM Capacity Package Substrate Crossovers Page 4

5 BW / Watt Connectivity Enables High Bandwidth, Low Power Die-to-Die Communication 100x 3D Interconnect 10x SerDes & Standard I/O 1x 10x 100x 1,000x Total Die-to-Die Connections 100x bandwidth/watt advantage over conventional methods Page 5

6 Die Cost Capacity Beyond Moore s Law Big Single Monolithic Die Multiple Small Die Slices Exponential Dependency Linear Dependency (Bali) Greater capacity, faster yield ramp Page 6 Area

7 Crossover SoCs with Heterogeneous Die Logic Memory PLD Mixed functions Analog Memory Processor Mixed processes Page 7

8 CoWoS Process Flow (Courtesy TSMC) Bottom die Top-die Transfer glass to tape carrier Tape Stacking (μbump) Singulation Tape Wafer Molding TIS (Stacking, C4) Build up Subs Carrier bonding carrier carrier TIS (Ring+Lid) Thermal Interface Metal (TIM) Lid Ring B/S grinding carrier Top view Bottom view Side view B/S C4 bumping carrier

9 OSAT Co(CoS) Process Flow Wafer with TSV u-pad/bump, Probe Dice Carrier Carrier Mount Thin & TSV Reveal UBM & C4-bump Interposer-on- Substrate Carrier De-mount to Film frame Package Page 9 Copyright Xilinx

10 Virtex T: Homogeneous Stacked Silicon Interconnect (SSI) technology Virtex T 2 million logic cells ~2,000 BGA balls ~20,000 C4 bumps ~200,000 ubumps ~68B transistors 4-layer metal Si interposer with TSV 4 FPGA sub-die in package >10,000 inter-die connections Shipping today Page 10

11 Heterogeneous Integration

12 What happened to System on a Chip? Logic Memory Analog Global Revenue 2011 $150B $68B $45B Moore Scaling Good Good Poor Technology Vintage Transistor Characteristics High performance/ Low leakage Low leakage/ moderate performance Stable with good voltage headroom Metallization >9 layers <5 layers <6 layers Differentiators High density logic Charge storage Passives, Optical

13 What s the problem with multiple packages? The packaging chasm: Two orders difference in package trace/width vs silicon metallization I/O also isn t scaling due to bump pitch and chip to chip loading issues Leads to increased area, power and complexity (SERDES) 100 Pkg via diam Pkg trace width 240 Si 10 mm 1 Package Via Diameter Package Trace Width Chip Top Metal Chip top metal mm Solder Bump Signal Power Cu Pillar ~06 mm ~3 mm ~100 mm To scale in X dimension PKG

14 Virtex-7 HT: Heterogeneous SerDes Top View Cross Section TSVs 28G SerDes Fabric Interface 28G FPGA FPGA FPGA 28G 13G FPGA 13G Passive Interposer 13G FPGA 13G Yield optimized 13G FPGA 13G Noise isolation 28G SerDes Passive Interposer 28G process optimized for performance 28Tb/s ~3X Monolithic 16 x 28G Transceivers 72 x 13G Transceivers 650 GPIO FPGA process optimized for power

15 Virtex-7 H580T Dual FPGA Slice with 8x28Gb/s Serial Transceivers Virtex-7 28Gbps

16 Interposer Routing & DCAP Wire coupling, no shielding Wire coupling, with shielding 3mm SSN, no DCAP SSN, with DCAP 6mm

17 SSI Enables Scalable FPGAs XC7VH290T XC7VH580T XC7VH870T GTZ-IC GTZ-IC GTH FPGA GTH GTH GTZ-IC FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTZ-IC Network 2 x 100G 2 x 100G 1 x 400G or 4 x 100G GTZ (28G) GTH (13G) Logic Cells 284K 580K 876K

18 High Bandwidth Integrated Memory Higher memory bandwidth at lower power 1Tbps 2Tbps ~1Gb/s per interposer wire MAC Bridging FPGA Simple extension of existing work Packet Processing/ Traffic Manager Line Card Fabric Interface FPGA FPGA Die TCAM DDR3 Wired Comms Line Card PKG Bridging FPGA Control Plane CPU Implement in FPGA Implement in ASIC/ASSP

19 2nd Generation 3D IC Co-optimized for Extra Performance, Power and Integration Homogeneous/heterogeneous 3D 3rd Generation fabric & die architecture Wide memory for high performance buffering 2nd Generation 3DIC Interconnect Cutting Edge Functionality Future XCVR protocol support (56Gb/s) 15x Integration/BOM 15x Logic (3-4x vs 28nm monolithic) More than 5x die-to-die interconnect bandwidth Industry standards interface Page 19

20 3D TSV-on-Active: The Next Frontier Who s on top? Top die Package lid Microbumps Bottom die Package substrate TSVs C4 balls BGA package balls High performance chip on on top for thermal and TSV process availability Bottom die supports power TSV s for top die (Swiss cheese) in older technology (TSV friendly) Floor-planning critical: Thermal concerns (stacked thermal flux) TSV keep out zones in bottom die to avoid stress induced performance impact TSV-Induced Device Stress

21 Challenges Cost Wafer backside processing is complicated Device quality wafers used for interposers KGD methodologies still emerging Scalability Micro-bump scaling is limited Super-sized interposers (>30mm x 30mm) Improve TSV aspect ratio Design Support Multi-die analysis without Multi-mode Multi-corner explosion Thermal modeling based on vertical hotspots

22 Summary Economic and technology forces are aligned to enable 3-D stacking The end game will see three distinct technologies: Logic, Memory, Analog Analog Logic Mem Package Heterogeneous integration is already here

23 Thank You Questions?

24 4 x100g Optical Interface Connector Connector 400Gb/s Line Card Application Up to 16 x 28 Gb/s GTZ Transceivers Up to 72 x 131 Gb/s GTH Transceivers Virtex-7 HT Network Processor Fabric Interface Switch Fabric Packet Queues and Lookup Memory (SRAM, TCAM, DRAM) Line Card Switch Card Page 24 Copyright Xilinx

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea TSV Test Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea # Agenda TSV Test Issues Reliability and Burn-in High Frequency Test at Probe (HFTAP) TSV Probing Issues DFT Opportunities

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Stacking Untested Wafers to Improve Yield. The 3D Enigma Stacking Untested Wafers to Improve Yield or 3D: Where the Timid Go to Die The 3D Enigma The Promise High Performance Low Power Improved Density More than Moore or at least as much as Moore The Reality

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA Total Inspection Solutions Ensuring Known-Good 3DIC Package Nevo Laron, Camtek USA, Santa Clara, CA Density Packaging Trends vs. Defect Costs Functionality Package Yield 3DIC yield statistics 101 1.00

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

3DIC & TSV interconnects business update

3DIC & TSV interconnects business update 3DIC & TSV interconnects business update ASET presentation. Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Fields of Expertise Yole Developpement

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs The International Magazine for the Semiconductor Packaging Industry Volume 18, Number 1 January February 2014 Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs Page 20 3D ICs The future of interposers

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Enabling Technology for the Cloud and AI One Size Fits All?

Enabling Technology for the Cloud and AI One Size Fits All? Enabling Technology for the Cloud and AI One Size Fits All? Tim Horel Collaborate. Differentiate. Win. DIRECTOR, FIELD APPLICATIONS The Growing Cloud Global IP Traffic Growth 40B+ devices with intelligence

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Moving a Generation Ahead with

Moving a Generation Ahead with Moving a Generation Ahead with All Programmable FPGAs, SoCs, and 3D ICs At the 28nm node, Xilinx introduced several new technologies that created an extra generation of value for customers and moved Xilinx

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research Test and Measurement Challenges for 3D IC Development R. Robertazzi IBM Research PFA Bill Price. Pete Sorce. John Ott. David Abraham. Pavan Samudrala Digital Test Kevin Stawaisz. TEL P12 Prober Glen Lansman,

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

3D SoC and Heterogeneous Integrations

3D SoC and Heterogeneous Integrations 3D SoC and Heterogeneous Integrations Content Introduction ST positioning Why 3D-Integration? CMOS Imager Sensor: the TSV success story! 3D SOC technology & applications Via Middle FE integrations Back-side

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

High Performance Memory Opportunities in 2.5D Network Flow Processors

High Performance Memory Opportunities in 2.5D Network Flow Processors High Performance Memory Opportunities in 2.5D Network Flow Processors Jay Seaton, VP Silicon Operations, Netronome Larry Zu, PhD, President, Sarcina Technology LLC August 6, 2013 2013 Netronome 1 Netronome

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group SiP Catalyst for Innovation SWDFT Conference Calvin Cheung ASE Group May 31, 2007 Outline Consumer Electronic Market > Consumer Electronics Market Trends > SiP Drives Innovation > SiP Category SiP - Challenges

More information

Mobility and Miniaturization 3D WLI Microscopes Address Key Metrology Needs

Mobility and Miniaturization 3D WLI Microscopes Address Key Metrology Needs Mobility and Miniaturization 3D WLI Microscopes Address Key Metrology Needs Outline Introductions Brief Overview of 3D Microscopes based on WLI General technology description Benefits and general applications

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System 3D Hetero-Integration Technology for Future Automotive Smart Vehicle System Kangwook Lee, Ph.D Professor, NICHe, Tohoku University Deputy Director, Global INTegration Initiative (GINTI) Kangwook Lee, Tohoku

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

Industry s Highest Bandwidth FPGA Enables World s First Single-FPGA Solution for 400G Communications Line Cards

Industry s Highest Bandwidth FPGA Enables World s First Single-FPGA Solution for 400G Communications Line Cards White Paper: Virtex-7 Family WP385 (v1.0) November 17, 2010 Industry s Highest Bandwidth FPGA Enables Wld s First Single-FPGA Solution f 400G Communications Line Cards By: Greg Lara To address the insatiable

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION ALL SILICON SYSTEM INTEGRATION DRESDEN ASSID ALL SILICON SYSTEM INTEGRATION DRESDEN FRAUNHOFER IZM-ASSID

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Comparison of Singulation Techniques

Comparison of Singulation Techniques Comparison of Singulation Techniques Electronic Packaging Society, Silicon Valley Chapter Sept. 28, 2017 ANNETTE TENG Sept 28, 2017 1 Definition of Singulation 9/28/2017 Annetteteng@promex-ind.com 2 www.cpmt.org/scv

More information