Analyzing the Disruptive Impact of a Silicon Compiler

Size: px
Start display at page:

Download "Analyzing the Disruptive Impact of a Silicon Compiler"

Transcription

1 THE ELECTRONICS RESURGENCE INITIATIVE Analyzing the Disruptive Impact of a Silicon Compiler Andreas Olofsson

2 1947 Source: Wikipedia, Computer Museum

3 2017 Source: AMD

4 Defense Advanced Research Project Agency Mission Arpanet TCP/IP GPS DARPA was #1 funding source for RISC-V s development at Berkeley (PERFECT, POEM) --UC Berkeley Technical Report Image Sources: Google, Wikipedia, UCB Grande Challenge PERFECT & POEM RISC-V

5 Why can t we have this? silicon compiler code

6 DARPA s $100M Silicon Compiler Investment IDEA: No human in the loop mixed signal circuit layout Source: Raspberry Pi POSH: A sustainable open source hardware design ecosystem

7 Academic Partners Commercial Partners University of Michigan University of California at San Diego Stanford Synopsys Sandia National Laboratories Cadence University of Texas Dallas Cairo University Carnegie Mellon University University of Virginia Boston University UIUC Princeton University University of Utah University of Southern California University of Washington Yale UT Austin Intel Qualcomm Xilinx Global Foundries Northrop Grumman Lockheed Martin MOSIS NVIDIA Analog Devices UIUC Purdue University Brown University jitx LeWiz ARM

8 Today s Hardware Layout A Better Way Training Data Training IDEA Unified Layout Generator Models Chip Package Board Chip 9 months Package 3 months Board 3 months 24 hours

9 The OpenRoad Open Source Silicon Compiler No-Human-In-The-Loop RTL to GDSII Compiler Silicon, Packaging, and Board Targets 24hr Turnaround Free and open source! UCSD Brown U of Michigan UT Dallas U of Minnesota Brown UCSD Michigan UT Dallas Michigan Brown UIUC UCSD/UTD UCSD UTD/UIUC Qualcomm ARM UTD/UIUC

10 Hardware Development Software Development User Content Two layer stack Chip Company A Chip Company B Chip Company C IP Vendors Chip Company D MemCache Thrift Cassandra Trillion Dollar Company Open Source Software Stack LINUX Apache PhP Jenkins $15B+ Open Source Infinite Layer Stack

11 High Performance Multicore RISC-V High Quality Digital Circuit Library Posh Open Source Hardware Scalable Formal Analysis Tools Analog Circuit Library FPGA Chips & Tools Open source culture

12 Tracking IDEA & POSH Progress 2018 Program Kickoff (Jun) 2018 First Integration Exercise (Jan) Source: Raspberry Pi Alpha code drop (Jun) A usable Silicon Compiler 50% PPA A great Silicon Compiler 100% PPA

13 So What?

14 ASICs Ops/ Joule 1000x DSP GPU CPU Flexibility FACT: Specialization is often the ONLY way to meet non-trivial Size, Weight, and Power system constraints

15 $1B SW $100M HW $10M FACT: High cost of ASICs Negative ROI Unreachable applications

16 $40,000,000 Status Quo TOTAL COST $4,000,000 $400,000 $40,000 A silicon compiler will enable building one-of-a-kind (N=1) million transistor chips for $500! $4,000 $ ,000 10, ,000 1,000,000 10,000, ,000,000 1,000,000,000 UNIT VOLUME (N) Assumptions: Perfect IP reuse/generation Extreme MPW Cost Sharing Free silicon compilers Standardized package & test

17 IDEA: THE MISSING PIECE! No-Human-In-The Loop Layout Chip Layout Army Massive cloud computing No-touch Foundry A General Purpose Silicon Compiler: Removes expertise barrier to democratizes access to silicon technology Replace finite human time with machine cycles Outcome: Makes it practical to specialize for N=1 Reach beyond the horizon, across the chasm,.. Source: Global Foundries, Google

18 N=1 (Extreme Energy Constraints, DARPA N-ZERO) Power Consumption 100 mw 10 mw 1 mw 100 µw 10 µw 1 µw 100 nw 10 nw Magnetic field sensor UNB Transceiver Microphone and accelerometer 1 MHz, 32-bit M4 processor IEEE ba wake-up radio receiver Wake-on-sound or motion sensors 10-year lifetime target Sleeping processors, sleeping radios, 64 kb memory and N-ZERO 20 (minutes) 3 (hours) 1 (day) 12 (days) 4 (months) 3.4 (years) 34 (years) 340 (years) Lifetime from a 30 mahr button cell PV Cells 3D Magnetic Antenna MEMS Tra nsducer Qua rtz Crystal Battery Sound Hole 3D-Printed Custom Lid Sta cked ICs Sources: ERI Summit, University of Michigan

19 N=1 (Extreme Size Constraints) Original Intel ,300 transistors Fits in a cell at 3nm Image Sources: Intel, CGTrader There is no Moore s Law for the physical world Biological and physical laws are constant Specialization needed to reach limits

20

DARPA is building a silicon compiler

DARPA is building a silicon compiler DARPA is building a silicon compiler Andreas Olofsson Program Manager DARPA/MTO Design Automation Conference San Francisco 6/26/2018 We are losing the complexity battle 100,000,000.00 transistor/mm^2 Cost

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

JAY LEWIS DEPUTY DIRECTOR DARPA/MTO

JAY LEWIS DEPUTY DIRECTOR DARPA/MTO JAY LEWIS DEPUTY DIRECTOR DARPA/MTO Company names, logos, and brands may be claimed as the property of others. THE ELECTRONICS RESURGENCE INITIATIVE ERI Kickoff and Page 3 Team Announcement Dr. Jay Lewis

More information

Roadmap Directions for the RISC-V Architecture

Roadmap Directions for the RISC-V Architecture Roadmap Directions for the RISC-V Architecture Andes RISC-V Con November 13, 2018 Linley Gwennap, Principal Analyst About Linley Gwennap Founder, principal analyst, The Linley Group Leading vendor of technical

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation UPCRC Overview Universal Computing Research Centers launched at UC Berkeley and UIUC Andrew A. Chien Vice President of Research Intel Corporation Announcement Key Messages Microsoft and Intel are announcing

More information

There s STILL plenty of room at the bottom! Andreas Olofsson

There s STILL plenty of room at the bottom! Andreas Olofsson There s STILL plenty of room at the bottom! Andreas Olofsson 1 Richard Feynman s Lecture (1959) There's Plenty of Room at the Bottom An Invitation to Enter a New Field of Physics Why cannot we write the

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Smart Dust : Dispersed, Un-tethered Geospatial Monitoring. Dr. Raja R. Kadiyala Chief Technology Officer CH2M HILL - Oakland, CA

Smart Dust : Dispersed, Un-tethered Geospatial Monitoring. Dr. Raja R. Kadiyala Chief Technology Officer CH2M HILL - Oakland, CA Smart Dust : Dispersed, Un-tethered Geospatial Monitoring Dr. Raja R. Kadiyala Chief Technology Officer CH2M HILL - Oakland, CA raja@ch2m.com Drivers and Trends Sensing, Communication and Computation MEMS

More information

5 th Generation Wireless. where is that going and what s in it for me? ECS Concentration at UCSD. Peter Asbeck, Ian Galton, Drew Hall, Tzu-Chien Hsueh

5 th Generation Wireless. where is that going and what s in it for me? ECS Concentration at UCSD. Peter Asbeck, Ian Galton, Drew Hall, Tzu-Chien Hsueh ECS Concentration at UCSD 5 th Generation Wireless Peter Asbeck, Ian Galton, Drew Hall, Tzu-Chien Hsueh where is that going and what s in it for me? Patrick Mercier, Gabriel M. Rebeiz October 29, 2018

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 7 September 2018 Announcements Reminder: The class notes are posted to the website. HW#1 will

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION page 1 / 5 page 2 / 5 fpga prototyping by verilog pdf A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence the

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Silicon Compilers - Version 2.0

Silicon Compilers - Version 2.0 Silicon Compilers - Version 2.0 Andreas Olofsson Program Manager, DARPA/MTO International Symposium on Physical Design March 25-28, Monterey, CA A Brief History of EDA 2 ENIAC: A world without Moore s

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Minsoo Ryu Hanyang University Outline 1. Definition of embedded systems 2. History and applications 3. Characteristics of embedded systems Purposes and constraints User

More information

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP? September 3, 2018 System-on-Chip Design (191210750) System-on-Chip Design for Embedded Systems (191211590) 2 OUTLINE Short general introduction to IC design Organization of this course 3 WHAT IS A SYSTEM-ON-CHIP?

More information

Adaptable Intelligence The Next Computing Era

Adaptable Intelligence The Next Computing Era Adaptable Intelligence The Next Computing Era Hot Chips, August 21, 2018 Victor Peng, CEO, Xilinx Pervasive Intelligence from Cloud to Edge to Endpoints >> 1 Exponential Growth and Opportunities Data Explosion

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Roadmap Benefits Past, Present and Future

Roadmap Benefits Past, Present and Future Roadmap Benefits Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAP Intel Fellow (1995-2012) 1 Second Update of Moore s Law Log 2 of the number of components per integrated

More information

An Alternative to GPU Acceleration For Mobile Platforms

An Alternative to GPU Acceleration For Mobile Platforms Inventing the Future of Computing An Alternative to GPU Acceleration For Mobile Platforms Andreas Olofsson andreas@adapteva.com 50 th DAC June 5th, Austin, TX Adapteva Achieves 3 World Firsts 1. First

More information

Reminder. Course project team forming deadline. Course project ideas. Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline

Reminder. Course project team forming deadline. Course project ideas. Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline Reminder Course project team forming deadline Friday 9/8 11:59pm You will be randomly assigned to a team after the deadline Course project ideas If you have difficulty in finding team mates, send your

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

GreenDroid: An Architecture for the Dark Silicon Age

GreenDroid: An Architecture for the Dark Silicon Age GreenDroid: An Architecture for the Dark Silicon Age Nathan Goulding-Hotta, Jack Sampson, Qiaoshi Zheng, Vikram Bhatt, Joe Auricchio, Steven Swanson, Michael Bedford Taylor University of California, San

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Step into Green Computing Consortium

Step into Green Computing Consortium Step into Green Computing Consortium Tao Wang March 21, 2018 Diversified Workload and Moore s Law Failure Opened Opportunity for ARM Z High performance server SPARC Power PA-RISC Itanium X86 MIPS RISC

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit Princeton Parallel Research Group Redesigning the Data Center of the Future Chip Architecture Operating Systems and Runtimes

More information

Accelerating the route to secure scalable IoT

Accelerating the route to secure scalable IoT Accelerating the route to secure scalable IoT Craig Tou Technical Marketing Manager Wireless Business Unit ARM Tech Forum Korea June 28 th 2017 ARM is everywhere 100Bn ARM-based chips shipped to date >

More information

A Scalable Processor Architecture for the Next Generation of Low Power Supercomputer. PRACE Workshop, October 2010 Andreas Olofsson

A Scalable Processor Architecture for the Next Generation of Low Power Supercomputer. PRACE Workshop, October 2010 Andreas Olofsson A Scalable Processor Architecture for the Next Generation of Low Power Supercomputer PRACE Workshop, October 2010 Andreas Olofsson Company Introduction Company founded in 2008 with mission to produce programmable

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

The Future of High Performance Computing

The Future of High Performance Computing The Future of High Performance Computing Randal E. Bryant Carnegie Mellon University http://www.cs.cmu.edu/~bryant Comparing Two Large-Scale Systems Oakridge Titan Google Data Center 2 Monolithic supercomputer

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Don t Think You Need an FPGA? Think Again!

Don t Think You Need an FPGA? Think Again! 1 Don t Think You Need an FPGA? Think Again! Arun Veeramani Senior Program Manager National Instruments Don t Think You Need an FPGA? Think Again! Goals for Today Define and explain FPGAs Address common

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

IoT as Enabling Technology for Smart Cities Panel PANEL IEEE RTSI

IoT as Enabling Technology for Smart Cities Panel PANEL IEEE RTSI IoT as Enabling Technology for Smart Cities Panel PANEL SESSION @ IEEE RTSI Torino, September 17, 2015, 8.30-10.00 Giuliana Gangemi, STMicroelectronics, giuliana.gangemi@st.com IoT Ecosystem 2 Sensors

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

A New Era of Silicon Prototyping in Computer Architecture Research

A New Era of Silicon Prototyping in Computer Architecture Research A New Era of Silicon Prototyping in Computer Architecture Research Christopher Torng Computer Systems Laboratory School of Electrical and Computer Engineering Cornell University Recent History of Prototypes

More information

Fast Hardware For AI

Fast Hardware For AI Fast Hardware For AI Karl Freund karl@moorinsightsstrategy.com Sr. Analyst, AI and HPC Moor Insights & Strategy Follow my blogs covering Machine Learning Hardware on Forbes: http://www.forbes.com/sites/moorinsights

More information

The lowrisc project Alex Bradbury

The lowrisc project Alex Bradbury The lowrisc project Alex Bradbury lowrisc C.I.C. 3 rd April 2017 lowrisc We are producing an open source Linux capable System-on-a- Chip (SoC) 64-bit multicore Aim to be the Linux of the Hardware world

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş Evolution of Computers & Microprocessors Dr. Cahit Karakuş Evolution of Computers First generation (1939-1954) - vacuum tube IBM 650, 1954 Evolution of Computers Second generation (1954-1959) - transistor

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Inference

Inference Inference Architectures @Xilinx Graham Schelle, PhD Principal Engineer Xilinx Research Labs Xilinx Headlines!2 Twitch Chooses Xilinx to Enable its Broadcast-quality Livestream of esports Agenda Xilinx

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Devices / edge network Cloud/data center Removing data Bottlenecks with Fpga acceleration

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Flexible architecture to add Bluetooth 5 and to your next SoC

Flexible architecture to add Bluetooth 5 and to your next SoC Flexible architecture to add Bluetooth 5 and 802.15.4 to your next SoC Prithi Ramakrishnan Senior Manager Product Marketing, Wireless Business Unit, ARM ARM Tech Symposia India December 7 th 2016 Faster

More information

Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset

Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset Executive Brief: The CompassIntel A-List Index A-List in Artificial Intelligence Chipset Prepared by: Nadine Manjaro nadine@beyondm2mcommunication.com @NadineManjaro @compassintel The Team Stephanie Atkinson

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Near Memory Computing Spectral and Sparse Accelerators

Near Memory Computing Spectral and Sparse Accelerators Near Memory Computing Spectral and Sparse Accelerators Franz Franchetti ECE, Carnegie Mellon University www.ece.cmu.edu/~franzf Co-Founder, SpiralGen www.spiralgen.com The work was sponsored by Defense

More information

Trends and Challenges in Multicore Programming

Trends and Challenges in Multicore Programming Trends and Challenges in Multicore Programming Eva Burrows Bergen Language Design Laboratory (BLDL) Department of Informatics, University of Bergen Bergen, March 17, 2010 Outline The Roadmap of Multicores

More information

MIAOW: An Open Source GPGPU

MIAOW: An Open Source GPGPU MIAOW: An Open Source GPGPU www.miaowgpu.org Vinay Gangadhar, Raghu Balasubramanian, Mario Drumond, Ziliang Guo, Jai Menon, Cherin Joseph, Robin Prakash, Sharath Prasad, Pradip Vallathol, Karu Sankaralingam

More information

Microprocessor Systems

Microprocessor Systems Microprocessor Systems Welcome! Instructor: Clint Cole Office: EME59 ccole@eecs.wsu.edu Office hours: M, W, Fr 10-12 TA(s): Greidi Ajalik Lab: EME56 Times: Thursday 8AM 1:30PM. I didn t pick them. Lab

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Extending the Power of FPGAs to Software Developers:

Extending the Power of FPGAs to Software Developers: Extending the Power of FPGAs to Software Developers: The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Group Page 1 Agenda The Evolution of FPGAs and FPGA Programming

More information

Unit 11: Putting it All Together: Anatomy of the XBox 360 Game Console

Unit 11: Putting it All Together: Anatomy of the XBox 360 Game Console Computer Architecture Unit 11: Putting it All Together: Anatomy of the XBox 360 Game Console Slides originally developed by Milo Martin & Amir Roth at University of Pennsylvania! Computer Architecture

More information

Open Source Software in Robotics and Real-Time Control Systems. Gary Crum at OpenWest 2017

Open Source Software in Robotics and Real-Time Control Systems. Gary Crum at OpenWest 2017 Open Source Software in Robotics and Real-Time Control Systems Gary Crum at OpenWest 2017 Background and some videos for context ASI history with some open source and USU academic roots: asirobots.com

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

Strober: Fast and Accurate Sample-Based Energy Simulation Framework for Arbitrary RTL

Strober: Fast and Accurate Sample-Based Energy Simulation Framework for Arbitrary RTL Strober: Fast and Accurate Sample-Based Energy Simulation Framework for Arbitrary RTL Donggyu Kim, Adam Izraelevitz, Christopher Celio, Hokeun Kim, Brian Zimmer, Yunsup Lee, Jonathan Bachrach, Krste Asanović

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Heterogenous Computing

Heterogenous Computing Heterogenous Computing Fall 2018 CS, SE - Freshman Seminar 11:00 a 11:50a Computer Architecture What are the components of a computer? How do these components work together to perform computations? How

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

An introduction to Machine Learning silicon

An introduction to Machine Learning silicon An introduction to Machine Learning silicon November 28 2017 Insight for Technology Investors AI/ML terminology Artificial Intelligence Machine Learning Deep Learning Algorithms: CNNs, RNNs, etc. Additional

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Parallelism in Hardware

Parallelism in Hardware Parallelism in Hardware Minsoo Ryu Department of Computer Science and Engineering 2 1 Advent of Multicore Hardware 2 Multicore Processors 3 Amdahl s Law 4 Parallelism in Hardware 5 Q & A 2 3 Moore s Law

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Reminder. Course project team forming deadline. Course project ideas. Next milestone

Reminder. Course project team forming deadline. Course project ideas. Next milestone Reminder Course project team forming deadline Thursday 9/6 11:59pm You will be randomly assigned to a team after the deadline Course project ideas If you have difficulty in finding team mates, send your

More information

Edge Computing and the Next Generation of IoT Sensors. Alex Raimondi

Edge Computing and the Next Generation of IoT Sensors. Alex Raimondi Edge Computing and the Next Generation of IoT Sensors Alex Raimondi Who I am? Background: o Studied Electrical Engineering at ETH Zurich o Over 20 years of experience in embedded design o Co-founder of

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

ASYNC Rik van de Wiel COO Handshake Solutions

ASYNC Rik van de Wiel COO Handshake Solutions ASYNC 2006 Rik van de Wiel COO Handshake Solutions Outline Introduction to Handshake Solutions Applications Design Tools ARM996HS Academic Program Handshake Solutions Started as research project in Philips

More information

ECE 574 Cluster Computing Lecture 18

ECE 574 Cluster Computing Lecture 18 ECE 574 Cluster Computing Lecture 18 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 2 April 2019 HW#8 was posted Announcements 1 Project Topic Notes I responded to everyone s

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

EE290 A: Advanced Topics in CAD

EE290 A: Advanced Topics in CAD EE290 A: Advanced Topics in CAD Professors Kurt Keutzer and Richard Newton Department of Electrical Engineering and Computer Sciences University of California at Berkeley Spring 1999 1 HW1: Back of Envelope

More information

Microcontrollers for Ham Radio

Microcontrollers for Ham Radio Microcontrollers for Ham Radio MARTIN BUEHRING - KB4MG MAT T PESCH KK4NLK TOM PERRY KN4LSE What is a Microcontroller? A micro-controller is a small computer on a single integrated circuit containing a

More information

Big Data Meets High-Performance Reconfigurable Computing

Big Data Meets High-Performance Reconfigurable Computing Big Data Meets High-Performance Reconfigurable Computing UF Workshop on Dense, Intense, and Complex Data Alan George CHREC Center Director Herman Lam CHREC Center Associate Director June 19, 2013 What

More information

Conservation Cores: Reducing the Energy of Mature Computations

Conservation Cores: Reducing the Energy of Mature Computations Conservation Cores: Reducing the Energy of Mature Computations Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, Michael Bedford

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

and Parallel Algorithms Programming with CUDA, WS09 Waqar Saleem, Jens Müller

and Parallel Algorithms Programming with CUDA, WS09 Waqar Saleem, Jens Müller Programming with CUDA and Parallel Algorithms Waqar Saleem Jens Müller Organization People Waqar Saleem, waqar.saleem@uni-jena.de Jens Mueller, jkm@informatik.uni-jena.de Room 3335, Ernst-Abbe-Platz 2

More information

Getting to Work with OpenPiton

Getting to Work with OpenPiton Getting to Work with OpenPiton Jonathan Balkind, Michael McKeown, Yaosheng Fu, Tri Nguyen, Yanqi Zhou, Alexey Lavrov, Mohammad Shahrad, Adi Fuchs, Samuel Payne, Xiaohua Liang, Matthew Matl, David Wentzlaff

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

Bringing the benefits of Cortex-M processors to FPGA

Bringing the benefits of Cortex-M processors to FPGA Bringing the benefits of Cortex-M processors to FPGA Presented By Phillip Burr Senior Product Marketing Manager Simon George Director, Product & Technical Marketing System Software and SoC Solutions Agenda

More information

The Changing Face of Edge Compute

The Changing Face of Edge Compute The Changing Face of Edge Compute 2018 Arm Limited Alvin Yang Nov 2018 Market trends acceleration of technology deployment 26 years 4 years 100 billion chips shipped 100 billion chips shipped 1 Trillion

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

How to build a Megacore microprocessor. by Andreas Olofsson (MULTIPROG WORKSHOP 2017)

How to build a Megacore microprocessor. by Andreas Olofsson (MULTIPROG WORKSHOP 2017) How to build a Megacore microprocessor by Andreas Olofsson (MULTIPROG WORKSHOP 2017) 1 Disclaimers 2 This presentation summarizes work done by Adapteva from 2008-2016. Statements and opinions are my own

More information

Cryogenic Computing Complexity (C3) Marc Manheimer December 9, 2015 IEEE Rebooting Computing Summit 4

Cryogenic Computing Complexity (C3) Marc Manheimer December 9, 2015 IEEE Rebooting Computing Summit 4 Cryogenic Computing Complexity (C3) Marc Manheimer marc.manheimer@iarpa.gov December 9, 2015 IEEE Rebooting Computing Summit 4 C3 for the Workshop Review of the C3 program Motivation Technical challenges

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Boris Grot and Dr. Vijay Nagarajan!! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Photonics in the Package for Extreme Scalability (PIPES)

Photonics in the Package for Extreme Scalability (PIPES) Photonics in the Package for Extreme Scalability (PIPES) Gordon Keeler, PM, DARPA/MTO Proposers Day November 1, 2018 DISTRIBUTION STATEMENT A. Approved for public release. Distribution is unlimited. 12

More information

GAUSS OBC ABACUS 2017

GAUSS OBC ABACUS 2017 [] Table of contents Table of contents... 1 1. Introduction... 3 1.1. ABACUS Features... 3 1.2. Block Diagram... 6 2. Pinouts... 7 3. Inertial Measurement Unit Details... 10 3.1. Orientation of Axes...

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information