DARPA is building a silicon compiler

Size: px
Start display at page:

Download "DARPA is building a silicon compiler"

Transcription

1 DARPA is building a silicon compiler Andreas Olofsson Program Manager DARPA/MTO Design Automation Conference San Francisco 6/26/2018

2 We are losing the complexity battle 100,000, transistor/mm^2 Cost ($M) ,000, ,000, , , , IP Architectures Verification Physical Validation Prototype Software Sources: Economist, IBIS

3 DARPA s $100M Hardware Compiler Investment No human in the loop mixed signal circuit layout No human in the loop package and board layout Intent driven design and system synthesis A viable open source hardware design ecosystem Image Source: Raspberry Pi

4 End State The first general purpose Silicon Compiler Image Sources: Amazon, NVIDIA

5 Program Participants University of California at San Diego Brown University University of Washington Purdue University Academic Partners University of Utah University of Texas at Dallas University of Michigan Boston University Princeton University Yale Carnegie Mellon University University of Illinois at Urbana Champaign University of Southern California Cairo University University of Virginia Commercial Partners Synopsys Xilinx Northrop Grumman MOSIS Analog Circuit Works Cadence Design Systems ARM Qualcomm NVIDIA JITX Global Foundries LeWiz Analog Devices Lockheed Martin Sandia National Laboratories

6 What it takes to build a hardware compiler IDEA Program 11 Teams 16 Subcontractors POSH Program 11 Teams 10 Subcontractors 26 Professors 18 Professors 35+ Professionals 35+ Professionals 60 Graduate Students Academic Institutions Industry 39 Graduate Students

7 Sample of Program Research Efforts Cadence Design Systems Analog Layout University of Washington Open source analog IP JITX/NGMS Design by intent Yale Asynchronous Design University of California at San Diego Digital Layout Synopsys Mixed Signal Emulation University of Washington RISC-V Xilinx Mixed HW/SW Emulation

8 IDEA: A unified electrical circuit layout generator Today Future Data Training IDEA Unified Layout Generator Models Chip Package Board Chip 9 months Package 3 months Board 3 months 24 hours Knowledge embedded in humans Limited knowledge reuse Reliance on scarce resources Knowledge embedded in software 100% automated hardware compilation 24 hour turnaround

9 IDEA: No human in the loop digital AND analog layout! Today Designer provides manual constraints to layout person (or tool) Max 10µm from main supply, 0.5µm width Future Automatically assign constraints based on trained circuit & layout models Millions of circuits New circuits Common centroid layout Place dummies, interdigitize Common Vocabulary of Strategies Training ` Circuit & Layout Models Circuit Classifier Assign Strategies & Constraints Auto-placement Centroid Mirroring Isolation Auto-routing

10 IDEA: Intent-driven system synthesis True Specs: 5V Ethernet USB HDMI 1GB RAM 128MB Flash FPGPA 20 GFLOPS ARM A9 Intent: Specify what, not how! Most true board specifications should be very minimal. Derived: 500 Parts, voltage levels, placement, routing, connectivity Image source: Adapteva

11 IDEA: An open 5M+ component IC database Today Root IDEA Active Connectors Passives Diode Trans IC Ind Res Ind Source: data sheets from Xilinx, Analog Devices 5M+ parts in circulation Information embedded in datasheets and reference designs No standard models Automatic optimization not possible Proc Mem ADC DAC PMIC SRAM ONFI DRAM DDR4 DDR3 DDR2 Capacity Width Freq, Power Temp Package Cost Type Tolerance Temp Coff Temp Rating Voltage rating Package Cost Inventory Obsolecence IC standard models (LEF,LIB,IP-XACT) Extend standards for boards / SIPs Creation of 5M+ part DB Model all properties needed for constraint-based system optimization

12 POSH: Expected Program Results RISC-V Multicore RISC-V Open source FPGA Chips Linux for SoC Design Open source analog IP Signoff level validation Commercial open source design community Image sources: Farhek, Wikipedia, EE Times

13 Silicon Compiler Program Schedule 2018 Program Kickoff 2018 First Integration Exercise Alpha Release, working code Working Beta Silicon Compiler 50% PPA Program Completion 100% PPA Image Source: Raspberry Pi

14 Money $1,000,000,000 $100,000,000 $10,000,000 Old Model TOTAL COST $1,000,000 $100,000 Semiconductor disruption enabled by IDEA and POSH $10,000 $1, ,000 10, ,000 1,000,000 10,000, ,000,000 1,000,000,000 UNIT VOLUME 14

15 Image Source: U.S. Naval History Time Time Distance 1 ns Foot 1 us Eiffel Tower 1 ms NY to Boston 15

16 Gravity Real time machine learning? Image Sources: Drone Air, IBM DISTRIBUTION STATEMENT DISTRIBUTION C. Distribution STATEMENT authorized A: to Approved U.S. Government for public Agencies release. and their contractors 16

17 Space 8um Original Intel ,300 transistors Fits in a cell at 3nm? Image Sources: Intel, CGTrader DISTRIBUTION STATEMENT DISTRIBUTION C. Distribution STATEMENT authorized A: to Approved U.S. Government for public Agencies release. and their contractors 17

18 Electronics Resurgence Initiative Summit Since my 1965 paper that ERI references, what has actually happened in the intervening 52 years is far beyond anything I contemplated. It is a testimony to the creativity of many engineers and scientists that the industry has surmounted apparent roadblocks that looked to be the end of transistor scaling. - Gordon Moore, December 1, 2017 San Francisco, CA Palace of Fine Arts July 23-25, 2018 Hear from Leading Voiceswww.darpa.mil What to Expect John Hennessy Chairman, Alphabet Tom Beckley Senior VP, Cadence Gary Dickerson CEO, Applied Materials Mike Mayberry CTO, Intel Aart de Geus Co-CEO, Synopsys Bill Dally SVP, NVIDIA Walden Rhines CEO, Mentor Erica Fuchs Professor, CMU Hear from leading voices in the microelectronics industry Engage directly with DARPA's thought leaders and a network of experts What s Next Technical Brainstorming Workshops: Hardware Emulation, Integrated Photonics, Hardware Security, and Hardware for Next Gen Artificial Intelligence

19 DISTRIBUTION STATEMENT C. Distribution authorized to U.S. Government Agencies and their contractors 19

Analyzing the Disruptive Impact of a Silicon Compiler

Analyzing the Disruptive Impact of a Silicon Compiler THE ELECTRONICS RESURGENCE INITIATIVE Analyzing the Disruptive Impact of a Silicon Compiler Andreas Olofsson 1947 Source: Wikipedia, Computer Museum 2017 Source: AMD Defense Advanced Research Project Agency

More information

Silicon Compilers - Version 2.0

Silicon Compilers - Version 2.0 Silicon Compilers - Version 2.0 Andreas Olofsson Program Manager, DARPA/MTO International Symposium on Physical Design March 25-28, Monterey, CA A Brief History of EDA 2 ENIAC: A world without Moore s

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

JAY LEWIS DEPUTY DIRECTOR DARPA/MTO

JAY LEWIS DEPUTY DIRECTOR DARPA/MTO JAY LEWIS DEPUTY DIRECTOR DARPA/MTO Company names, logos, and brands may be claimed as the property of others. THE ELECTRONICS RESURGENCE INITIATIVE ERI Kickoff and Page 3 Team Announcement Dr. Jay Lewis

More information

Multicycle-Path Challenges in Multi-Synchronous Systems

Multicycle-Path Challenges in Multi-Synchronous Systems Multicycle-Path Challenges in Multi-Synchronous Systems G. Engel 1, J. Ziebold 1, J. Cox 2, T. Chaney 2, M. Burke 2, and Mike Gulotta 3 1 Department of Electrical and Computer Engineering, IC Design Research

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP? September 3, 2018 System-on-Chip Design (191210750) System-on-Chip Design for Embedded Systems (191211590) 2 OUTLINE Short general introduction to IC design Organization of this course 3 WHAT IS A SYSTEM-ON-CHIP?

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

A New Era of Silicon Prototyping in Computer Architecture Research

A New Era of Silicon Prototyping in Computer Architecture Research A New Era of Silicon Prototyping in Computer Architecture Research Christopher Torng Computer Systems Laboratory School of Electrical and Computer Engineering Cornell University Recent History of Prototypes

More information

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION Dr. Daniel S. Green, DARPA/MTO Program Manager NDIA Trusted Microelectronics Workshop August 17, 2016 The

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION page 1 / 5 page 2 / 5 fpga prototyping by verilog pdf A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence the

More information

Impact of the Mead-Conway innovations in VLSI chip design and implementation methodology:

Impact of the Mead-Conway innovations in VLSI chip design and implementation methodology: Impact of the Mead-Conway innovations in VLSI chip design and implementation methodology: An overview by Lynn Conway (PDF) This page provides an overview of the impact of the Mead-Conway innovations in

More information

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?! University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Memory Let s Name Some Groups of Bits I need your help. The computer we re going

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

PG Certificate. Embedded, Internet Of Things & Android

PG Certificate. Embedded, Internet Of Things & Android PG Certificate in Embedded, Internet Of Things & Android (Project Based) A Corporate Member of FITT-IIT Delhi An Initiative by Industry Experts from Cadence, Atrenta & Patni with qualification from IITs

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc. SoC Realization Building a Bridge to New Markets and Renewed Growth Dr. Ajoy Bose Chairman, President & CEO Atrenta Inc. October 20, 2011 2011 Atrenta Inc. SoCs Are Driving Electronic Product Innovation

More information

Heterogenous Computing

Heterogenous Computing Heterogenous Computing Fall 2018 CS, SE - Freshman Seminar 11:00 a 11:50a Computer Architecture What are the components of a computer? How do these components work together to perform computations? How

More information

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4 ADVANCED FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 3 & 4 Books Recommended Books: Text Book: FPGA Based System Design by Wayne Wolf Overview Why VLSI? Moore

More information

Security Task Group. Presented by Richard Newell Vice-Chair May 9, th RISC-V Workshop, Barcelona

Security Task Group. Presented by Richard Newell Vice-Chair May 9, th RISC-V Workshop, Barcelona Security Task Group Presented by Richard Newell Vice-Chair May 9, 2018 8 th RISC-V Workshop, Barcelona Security Group Organization Before last week Board of Directors Marketing Technical Standing Committees

More information

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION Dr. Daniel S. Green, DARPA/MTO Program Manager The DARPA solution is to provide a menu of hardware security

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

KiloCore: A 32 nm 1000-Processor Array

KiloCore: A 32 nm 1000-Processor Array KiloCore: A 32 nm 1000-Processor Array Brent Bohnenstiehl, Aaron Stillmaker, Jon Pimentel, Timothy Andreas, Bin Liu, Anh Tran, Emmanuel Adeagbo, Bevan Baas University of California, Davis VLSI Computation

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

SoCtronics Corporate Overview Industry s 1st Design FoundryTM

SoCtronics Corporate Overview Industry s 1st Design FoundryTM SoCtronics Corporate Overview Industry s 1st Design FoundryTM Headquarters Hyderabad, India Design Center Santa Clara, California Company Profile One-stop SoC design service company Operating since 2003

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission DIGITAL SANDBOX WORKSHOP Summer 2004 Sandbox CAD Support Digital Sandbox Mission The virtual SoC design support facility provides "industrial strength" hardware, software, EDA tools, workflows, and technical

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Cooking with Team 279

Cooking with Team 279 Cooking with Team 279 Intro to the Raspberry Pi FIRST Team 279 Tech Fusion http://team279.com - https://twitter.com/team_279 Author: Michael Lehman What is a System on a Chip? From Wikipedia: A system

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Alcatel-Lucent invents and delivers the innovative networks of tomorrow.

Alcatel-Lucent invents and delivers the innovative networks of tomorrow. Alcatel-Lucent invents and delivers the innovative networks of tomorrow. Every success has its network. Alcatel-Lucent is the leading IP networking, ultra-broadband access, and cloud technology specialist.

More information

Clockless IC Design using Handshake Technology. Ad Peeters

Clockless IC Design using Handshake Technology. Ad Peeters Clockless IC Design using Handshake Technology Ad Peeters Handshake Solutions Philips Electronics Philips Semiconductors Philips Corporate Technologies Philips Medical Systems Lighting,... Philips Research

More information

The Fujitsu ASIC Platform:

The Fujitsu ASIC Platform: : Combining Engineering Expertise with Best-in-Class Tools and Process Technology to Deliver Cost-Efficient Custom Silicon TECHNOLOGY BACKGROUNDER Introduction Advanced ASIC (Application Specific Integrated

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

OUTLINE OF THIS PRESENTATION

OUTLINE OF THIS PRESENTATION CREATING, ACQUIRING AND INTEGRATING REUSABLE IP Prof. Don Bouldin, Ph.D. Electrical & Computer Engineering University of Tennessee Knoxville, TN 37996-2100 dbouldin@tennessee.edu IEEE Boston 14 November

More information

CREATING, ACQUIRING AND INTEGRATING REUSABLE IP

CREATING, ACQUIRING AND INTEGRATING REUSABLE IP CREATING, ACQUIRING AND INTEGRATING REUSABLE IP Prof. Don Bouldin, Ph.D. Electrical & Computer Engineering University of Tennessee Knoxville, TN 37996-2100 dbouldin@tennessee.edu IEEE Boston 14 November

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

Libero SoC v11.9 SP2 Release Notes 11/2018

Libero SoC v11.9 SP2 Release Notes 11/2018 Libero SoC v11.9 SP2 Release Notes 11/2018 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax: +1 (949)

More information

Electronic Design Automation

Electronic Design Automation Electronic Design Automation Everything you need to know but were afraid to ask Tuesday 4 th September ECT Forum Wesley Ryder Technical Director 2 Market Drivers On time but development costs 50% over

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call Prepared Remarks of Lip-Bu Tan, Chief Executive Officer and John Wall, Senior Vice President and Chief Financial

More information

There s STILL plenty of room at the bottom! Andreas Olofsson

There s STILL plenty of room at the bottom! Andreas Olofsson There s STILL plenty of room at the bottom! Andreas Olofsson 1 Richard Feynman s Lecture (1959) There's Plenty of Room at the Bottom An Invitation to Enter a New Field of Physics Why cannot we write the

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

How to build a Megacore microprocessor. by Andreas Olofsson (MULTIPROG WORKSHOP 2017)

How to build a Megacore microprocessor. by Andreas Olofsson (MULTIPROG WORKSHOP 2017) How to build a Megacore microprocessor by Andreas Olofsson (MULTIPROG WORKSHOP 2017) 1 Disclaimers 2 This presentation summarizes work done by Adapteva from 2008-2016. Statements and opinions are my own

More information

Photonics in the Package for Extreme Scalability (PIPES)

Photonics in the Package for Extreme Scalability (PIPES) Photonics in the Package for Extreme Scalability (PIPES) Gordon Keeler, PM, DARPA/MTO Proposers Day November 1, 2018 DISTRIBUTION STATEMENT A. Approved for public release. Distribution is unlimited. 12

More information

Design Development and Implementation of SPI

Design Development and Implementation of SPI MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 65 69 65 Design Development and Implementation of SPI A. Sirisha Kurnool (DT), A.P, INDIA M. Sravanthi

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

EXHIBITOR PROSPECTUS

EXHIBITOR PROSPECTUS 52 DAC nd EXHIBITOR PROSPECTUS Moscone Center, San Francisco, CA Exhibition: June 8-10, 2015 Conference: June 7-11, 2015 sponsored by: DAC.com in technical cooperation with: The Design Automation Conference

More information

Portable Stimulus Working Group

Portable Stimulus Working Group Portable Stimulus Working Group Authored by the PSWG Presented by Tom Fitzpatrick Portable Stimulus Working Group Vice Chair Portable Stimulus Working Group (PSWG) Our goals - Enable value and automation

More information

An OpenSource Digital Circuit Design Flow

An OpenSource Digital Circuit Design Flow An OpenSource Digital Circuit Design Flow Davide Sabena Mauricio De Carvalho Free Software - 2012 Outline Introduction Problem Motivations Proposed Open Source method Digital Design Flow Commercial vendor

More information

Cryogenic Computing Complexity (C3) Marc Manheimer December 9, 2015 IEEE Rebooting Computing Summit 4

Cryogenic Computing Complexity (C3) Marc Manheimer December 9, 2015 IEEE Rebooting Computing Summit 4 Cryogenic Computing Complexity (C3) Marc Manheimer marc.manheimer@iarpa.gov December 9, 2015 IEEE Rebooting Computing Summit 4 C3 for the Workshop Review of the C3 program Motivation Technical challenges

More information

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India Trends in Prototyping Systems ni logic Pvt. Ltd., Pune, India Focus of design dept. Electronic system & Flow Design problems Educating design Prototype USDP Features Applications Conclusion Agenda Faster

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models Technology industry Reporting Insights Advisory Services Whitepaper by TIRIAS Research June 20, 2017 There has never been a better time to build your own custom application specific integrated circuit

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

Semiconductor Diode For High Temperature Applications

Semiconductor Diode For High Temperature Applications Semiconductor Diode For High Temperature Applications An electronic device, able to function at 3 higher temperature than current devices Total Number of interviews = 112 In Person=62 Electronic design

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Computer Architecture. Fall Dongkun Shin, SKKU

Computer Architecture. Fall Dongkun Shin, SKKU Computer Architecture Fall 2018 1 Syllabus Instructors: Dongkun Shin Office : Room 85470 E-mail : dongkun@skku.edu Office Hours: Wed. 15:00-17:30 or by appointment Lecture notes nyx.skku.ac.kr Courses

More information

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation UPCRC Overview Universal Computing Research Centers launched at UC Berkeley and UIUC Andrew A. Chien Vice President of Research Intel Corporation Announcement Key Messages Microsoft and Intel are announcing

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007

Elastix TM Corporation Enabling energy-efficient efficient chips. Vigyan Singhal President and CEO December 20, 2007 Elastix TM Corporation Enabling energy-efficient efficient chips Vigyan Singhal President and CEO December 20, 2007 1 Electronic chip design flow always @ (posedge clk) if (sel) q

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

Custom Silicon for all

Custom Silicon for all Custom Silicon for all Because Moore s Law only ends once Who is SiFive? Best-in-class team with technology depth and breadth Founders & Execs Key Leaders & Team Yunsup Lee CTO Krste Asanovic Chief Architect

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Wisconsin Computer Architecture. Nam Sung Kim

Wisconsin Computer Architecture. Nam Sung Kim Wisconsin Computer Architecture Mark Hill Nam Sung Kim Mikko Lipasti Karu Sankaralingam Guri Sohi David Wood Technology & Moore s Law 35nm Transistor 1947 Moore s Law 1964: Integrated Circuit 1958 Transistor

More information

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping

Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping Introducing the FPGA-Based Prototyping Methodology Manual (FPMM) Best Practices in Design-for-Prototyping 1 What s the News? Introducing the FPMM: FPGA-Based Prototyping Methodology Manual Launch of new

More information

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

IP CORE Design 矽智產設計. C. W. Jen 任建葳. IP CORE Design 矽智產設計 C. W. Jen 任建葳 cwjen@twins.ee.nctu.edu.tw Course Contents Introduction to SoC and IP ARM processor core and instruction sets VCI interface, on-chip bus, and platform-based design IP

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 7 September 2018 Announcements Reminder: The class notes are posted to the website. HW#1 will

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Near Memory Computing Spectral and Sparse Accelerators

Near Memory Computing Spectral and Sparse Accelerators Near Memory Computing Spectral and Sparse Accelerators Franz Franchetti ECE, Carnegie Mellon University www.ece.cmu.edu/~franzf Co-Founder, SpiralGen www.spiralgen.com The work was sponsored by Defense

More information