Roadmap Benefits Past, Present and Future

Size: px
Start display at page:

Download "Roadmap Benefits Past, Present and Future"

Transcription

1 Roadmap Benefits Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAP Intel Fellow ( ) 1

2 Second Update of Moore s Law Log 2 of the number of components per integrated function X/Year 2X/2Year Year International Electron Device Meeting, December

3 Moore s Law and Dennard s Scaling Laws Convergence => 30% LINEAR FEATURE REDUCTION S=0.7 50% 50% AREA READUCION GENERATION TO GENERATION 3

4 Phase 1 First Age of Scaling (Self-aligned Silicon Gate) 4

5 IC Industry at a Glance ( ) Driver Cost/transistor -> 50% Reduction How 2x Density/2 years (Moore) Method Geometrical Scaling (Dennard) 5

6 Gate Dielectric Scaling 4 You Are Here! Gate 1.2nm SiO 2 Tox equivalent (nm) Silicon substrate Monolayers 1997 NTRS From My Files 6

7 7

8 1998 ITRS Update Participation extended to: EECA, EIAJ, KSIA, TSIA at WSC on April 23,1998 1st Meeting held on July 10/11,1998 in San Francisco 2nd meeting held on December 10/11,1998 at SFO 50% of tables in 1997 NTRS required some changes 1998 ITRS Update posted on web in April 1999 Tutorial for SEMI 8

9 ITRS 1.0 9

10 Phase 2 Second Age of Scaling (Equivalent Scaling) 10

11 The Ideal MOS Transistor Metal Gate Insulator Source Drain Fully Surrounding Metal Electrode Fully Enclosed, Depleted Semiconductor High-K Gate Insulator Band Engineered Semiconductor Low Resistance Source/Drain From My Files 11

12 IC Industry at a Glance (2003->2021) Driver Cost/transistor-> 50% Reduction How 2x Density/2 years (Moore) Method Equivalent Scaling ( ITRS1.0) 12

13 13

14

15 Incubation Time n Strained Silicon 1992->2003 n HKMG 1996->2007 n Raised S/D 1993->2009 n MultiGates 1997->2011 Metal Gate Insulator Source Drain 1998 ~ years 15

16 NRI Funded Universities Finding the Next Switch Notre Dame Purdue Illinois-UC Penn State Michigan UT-Dallas Cornell GIT SUNY-Albany GIT Harvard Purdue RPI Columbia Caltech MIT NCSU Yale UVA TUNNEL FET GRAPHENE SPIN LOGIC UC Los Angeles C Berkeley UC Irvine UC Sana Barbara Stanford U Denver Portland State U Iowa SPIN GRAPHENE UT-Austin Rice Texas A&M UT-Dallas ASU Notre Dame U. Maryland NCSU Illinois UC Over 30 Universities in 20 States Columbia Harvard Purdue UVA Yale UC Santa Barbara Stanford Notre Dame U. Nebraska/Lincoln U. Maryland Cornell Illinois UC Caltech UC Berkeley MIT Northwestern Brown U Alabama 16

17 Dec

18 18

19 Technology Node Scaling 14 Today s Challenge Technology Node (nm) ITRS 19

20 20

21 Vertical Logic Architecture 21

22 Phase 3 Third Age of Scaling (3D Power Scaling) 22

23 IC Industry at a Glance (2021->203X) Driver Cost/transistor & power reduction How 2x Density/2 years (Moore) Method 3D Power Scaling (ITRS2.0) 23

24 The Different Ages of Scaling (Different methods for different times) ① ① ② ① ③ ① Geometrical Scaling ( ) Reduction of horizontal and vertical physical dimensions in conjunction with improved performance of planar transistors Equivalent Scaling (2003~2021) Reduction of only horizontal dimensions in conjunction with introduction of new materials and new physical effects. New vertical structures replace the planar transistor 3D Power Scaling (2021~203X) Transition to complete vertical device structures. Heterogeneous integration in conjunction with reduced power consumption become the technology drivers 24

25 Beyond 2020 O P S Y S T E M Customized Functionality Outside System Connectivity System Integration Heterogeneous Integration More than Moore A P P L E T S More Moore Beyond Moore ITRS

26 21th Anniversary of TRS 1991 Micro Tech 2000 Workshop Report NTRS 1994NTRS 1997NTRS Europe Japan Korea Taiwan USA 1998 ITRS Update 1999 ITRS 2000 ITRS Update 2001 ITRS 2002 ITRS Update 2003 ITRS 2004 ITRS 2006 ITRS Update 2005 ITRS Update 2007 ITRS 2008 ITRS Update 2009 ITRS 2010 ITRS Update 2011 ITRS 2012 ITRS Update 2013 ITRS 26

27 ITRS 2.0 ( ) 27

28 28

29 Q: How do we get back to exponential performance scaling? IEEE Rebooting Computing Initiative 29

30 30

31 US Initiatives Continuous Scaling NTRS FCRP NNI NRI End of Traditional Scaling in Sight Launch of USG Nanotechnology Agreement on US research coordination Equivalent Scaling Goes into Production High-k/Metal Gate. FinFET ~2021 Global Semiconductor Industry Moore s Law Continues International ITRS 1.0 International Nanotechnology Investments INC ITRS 2.0 Equivalent Scaling Research Launch of Eu/Japan Nanotechnology Yearly Conference on Nano Eu/Japan/US 3D Power Scaling 31

32 US Initiatives Rebooting Computing NSCI Computer industry Close to frequency and power limits Global Computer Industry Moore s Law Continues 3D Power Scaling IEEE RC ITRS 2.0 International Rebooting Computing Investments 32

Roadmap Past, Present and Future

Roadmap Past, Present and Future Roadmap Past, Present and Future Paolo Gargini Chairman ITRS2.0 Fellow IEEE, Fellow I-JSAPI Intel Fellow (1995-2012) 1 Multiple Stories 1. Introduction 2. 1998. ITRS 1.0 1. Equivalent Scaling 3. 2000.

More information

ITRS Past, Present and Future

ITRS Past, Present and Future ITRS Past, Present and Future Paolo Gargini Chairman ITRS Fellow IEEE, Fellow I-JSAPI 1 Agenda In the beginning Geometrical Scaling ITRS 1.0 Equivalent Scaling Post CMOS ITRS 2.0 3D Power Scaling Heterogeneous

More information

Nanoelectronics Research Initiative A Partnership for Exploratory Research

Nanoelectronics Research Initiative A Partnership for Exploratory Research 2003-2009 CBAN, NRI Nanoelectronics Research Initiative A Partnership for Exploratory Research Mike Roco National Science Foundation and National Nanotechnology initiative U.S.-Korea Annual Meeting, April

More information

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution

CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective. Accelerating the next technology revolution US Korea NanoForum April 2009 Accelerating the next technology revolution CMOS Scaling for the Next Decade and Emerging Technologies: SEMATECH Perspective Prashant Majhi Front End Processes, SEMATECH Copyright

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

International Technology Roadmap for Semiconductors

International Technology Roadmap for Semiconductors International Technology Roadmap for Semiconductors 2007 ITRS ORTC [12/5 Makuhari Japan ITRS Public Conference] A.Allan, Rev 0.0 (For IRC Review) 10/29/07 1 Agenda Moore s Law and More Definitions Technology

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information

Analyzing the Disruptive Impact of a Silicon Compiler

Analyzing the Disruptive Impact of a Silicon Compiler THE ELECTRONICS RESURGENCE INITIATIVE Analyzing the Disruptive Impact of a Silicon Compiler Andreas Olofsson 1947 Source: Wikipedia, Computer Museum 2017 Source: AMD Defense Advanced Research Project Agency

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

UOTFT: Universal Organic TFT Model for Circuit Design

UOTFT: Universal Organic TFT Model for Circuit Design UOTFT: Universal Organic TFT Model for Circuit Design S. Mijalković, D. Green, A. Nejim Silvaco Europe, St Ives, Cambridgeshire, UK A. Rankov, E. Smith, T. Kugler, C. Newsome, J. Halls Cambridge Display

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

FlexLogIC Manufacturing innovation by PragmatIC

FlexLogIC Manufacturing innovation by PragmatIC FlexLogIC Manufacturing innovation by PragmatIC Introductory presentation www.flexlogic.systems The FlexLogIC programme has received funding from the European Union s Horizon 2020 SME Instrument (grant

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Wisconsin Computer Architecture. Nam Sung Kim

Wisconsin Computer Architecture. Nam Sung Kim Wisconsin Computer Architecture Mark Hill Nam Sung Kim Mikko Lipasti Karu Sankaralingam Guri Sohi David Wood Technology & Moore s Law 35nm Transistor 1947 Moore s Law 1964: Integrated Circuit 1958 Transistor

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

ieuvi Mask TWG Prague, Czech Republic October 22, 2009 Lead: Co-Lead:

ieuvi Mask TWG Prague, Czech Republic October 22, 2009 Lead: Co-Lead: ieuvi Mask TWG Prague, Czech Republic October 22, 2009 Lead: David.Chan@SEMATECH.org Co-Lead: George.Huang@SEMATECH.org 1 Confidentiality Notice -Non-Confidential Meetings- This is a Non-Confidential Meeting

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Document Downloaded: Thursday December 03, June 2012 C OGR Meeting Thursday Morning MTA Presentation - Finkelstein. Author: Lisa Finkelstein

Document Downloaded: Thursday December 03, June 2012 C OGR Meeting Thursday Morning MTA Presentation - Finkelstein. Author: Lisa Finkelstein Document Downloaded: Thursday December 03, 2015 June 2012 C OGR Meeting Thursday Morning MTA Presentation - Finkelstein Author: Lisa Finkelstein Published Date: 06/26/2012 The NIH Transfer Agreement Dashboard

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

5.2 Technology Leadership

5.2 Technology Leadership 5.1.4 Production in 2009 and 2008 Unit: Capacity / Output (8-inch equivalent wafers) / Amount (NT$ thousands) Wafers Year Capacity Output Amount 2009 9,954,558 7,582,664 150,572,709 2008 9,376,612 8,350,692

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

LOW POWER PROBABILISTIC FLOATING POINT MULTIPLIER DESIGN

LOW POWER PROBABILISTIC FLOATING POINT MULTIPLIER DESIGN LOW POWER PROBABILISTIC FLOATING POINT MULTIPLIER DESIGN Aman Gupta,,*, Satyam Mandavalli, Vincent J. Mooney $,,*,, Keck-Voon Ling,*, Arindam Basu, Henry Johan $,* and Budianto Tandianus $,* International

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

JOINT STATEMENT OF THE 2ND MEETING OF THE WORLD SEMICONDUCTOR COUNCIL (WSC) APRIL 1998 CARLSBAD, CALIFORNIA

JOINT STATEMENT OF THE 2ND MEETING OF THE WORLD SEMICONDUCTOR COUNCIL (WSC) APRIL 1998 CARLSBAD, CALIFORNIA JOINT STATEMENT OF THE 2ND MEETING OF THE WORLD SEMICONDUCTOR COUNCIL (WSC) APRIL 1998 CARLSBAD, CALIFORNIA After a daylong session of policy talks, members of the World Semiconductor Council --- representing

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Boris Grot and Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh General Information Instructors: Boris

More information

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015 Evaluating Chip-Level Impact of Cu/Low-κ Performance Degradation on Circuit Performance at Future Technology Nodes Ahmet Ceyhan, Member,

More information

New Intel 45nm Processors. Reinvented transistors and new products

New Intel 45nm Processors. Reinvented transistors and new products New Intel 45nm Processors Reinvented transistors and new products November, 2007 Today s News Reinvented transistors, biggest advance in transistor design in 40 years Up to 820 million transistors on a

More information

From Embedded Systems to Scalable Platforms: Challenges in the Development of 5G baseband system on chip

From Embedded Systems to Scalable Platforms: Challenges in the Development of 5G baseband system on chip From Embedded Systems to Scalable Platforms: Challenges in the Development of 5G baseband system on chip Alan Gatherer PhD Senior Technical Vice President, Huawei USA alan.gatherer@huawei.com HUAWEI TECHNOLOGIES

More information

CS 348B Project Report Mingyu Gao, Jing Pu

CS 348B Project Report Mingyu Gao, Jing Pu CS 348B Project Report Mingyu Gao, Jing Pu mgao12@stanford.edu, jingpu@stanford.edu Introduction In this project, we plan to render silicon wafers with the signature of rainbow colors on the reflecting

More information

GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST

GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST GEORGE AND ANGELIKI PERLEGOS CHARITABLE TRUST Contacts Nancy B. Green John Bryant The William Baldwin Group George and Angeliki Perlegos Charitable Trust +1 650 856 6192 +1 408 857 7312 nbgreen@william-baldwin.com

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Joint Research with imec Belgium Targeting Measurement for 10-nm Generation

Joint Research with imec Belgium Targeting Measurement for 10-nm Generation 173 Corporations from around the world collaborate at imec on basic research into microelectronics and nanotechnology. Special Report Joint Research with imec Belgium Targeting Measurement for 10-nm Generation

More information

Undergraduate Admission File

Undergraduate Admission File Undergraduate Admission File June 13, 2007 Information Resources and Communications Office of the President University of California Overview Population The Undergraduate Admission File contains data on

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Soft processors as a prospective platform of the future

Soft processors as a prospective platform of the future Procedia Computer Science Volume 88, 2016, Pages 294 299 7th Annual International Conference on Biologically Inspired Cognitive Architectures, BICA 2016 Soft processors as a prospective platform of the

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.260 ISSN(Online) 2233-4866 Process Variation on Arch-structured Gate

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

FP7 Information & Communication Technologies. Rules of the Programme

FP7 Information & Communication Technologies. Rules of the Programme FP7 Information & Communication Technologies Rules of the Programme FP7/ICT Calls in Information Society 2009-2010 ICT Call 4, Closed Opened 19 November 2008: Close 1 April 2009 Joint Call with ENERGY,

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Update on Physical Scalability

Update on Physical Scalability Update on Physical Scalability Sabotaging Performance Gains! Dallas IEEE Computer Society Meeting Friday Jan 21, 2011 Douglas J. Matzke, Ph.D. IEEE Senior Member matzke@ieee.org 1 Abstract In September

More information

TFT-LCD Technology Introduction

TFT-LCD Technology Introduction TFT-LCD Technology Introduction Thin film transistor liquid crystal display (TFT-LCD) is a flat panel display one of the most important fields, because of its many advantages, is the only display technology

More information

Cyber Physical Systems Security at NSF

Cyber Physical Systems Security at NSF Cyber Physical Systems Security at NSF David Corman CISE Directorate National Science Foundation Image Credit: Exploratorium. National Science Foundation s mission To promote the progress of science; to

More information

Government-University-Industry Research Roundtable (GUIRR) Update FDP Meeting May 14-15, 2009 Irvine, CA

Government-University-Industry Research Roundtable (GUIRR) Update FDP Meeting May 14-15, 2009 Irvine, CA Government-University-Industry Research Roundtable (GUIRR) Update FDP Meeting May 14-15, 2009 Irvine, CA What is GUIRR? Joint body of the NAS, NAE, and IOM Created in 1984 to convene senior-most representatives

More information

3D modeling of dual-gate FinFET

3D modeling of dual-gate FinFET Mil shtein et al. Nanoscale Research Letters 2012, 7:625 NANO EXPRESS 3D modeling of dual-gate FinFET Samson Mil shtein *, Lalitha Devarakonda, Brian Zanchi and John Palma Open Access Abstract The tendency

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Micro transductors 08

Micro transductors 08 Micro transductors 8 CMOS Basics Dr.-Ing. Frank Sill Department of Electrical Engineering, Federal University of Minas Gerais, Av. Antônio Carlos 6627, CEP: 327-, Belo Horizonte (MG), Brazil franksill@ufmg.br

More information

Cantilever Based Ultra Fine Pitch Probing

Cantilever Based Ultra Fine Pitch Probing Cantilever Based Ultra Fine Pitch Probing Christian Leth Petersen Peter Folmer Nielsen Dirch Petersen SouthWest Test Workshop San Diego, June 2004 1 About CAPRES Danish MEMS probe & interfacing venture

More information

Integrated circuits and fabrication

Integrated circuits and fabrication Integrated circuits and fabrication Motivation So far we have discussed about the various devices that are the heartbeat of core electronics. This modules aims at giving an overview of how these solid

More information

21 21st Century Nanotechnology Research and Development Act

21 21st Century Nanotechnology Research and Development Act NEDO 2005.1.17 2003 12 3 21 21st Century Nanotechnology Research and Development Act National Nanotechnology Initiative = NNI NNI National Nanotechnology Initiative: The Initiative and Its Implementation

More information

The Multi-core revolution: Design Issues and Bus-based Interconnect

The Multi-core revolution: Design Issues and Bus-based Interconnect Friday, October, 2013 The Multi-core revolution: Design Issues and Bus-based Interconnect Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline Power wall and singlecore

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh (thanks to Prof. Nigel Topham) General Information Instructor

More information

Product Embedded Information Devices for Labeling & Sensing

Product Embedded Information Devices for Labeling & Sensing Product Embedded Information Devices for Labeling & Sensing Opportunities and Challenges Guido Stromberg Infineon Technologies AG Automotive, Industrial and Multimarkets -Sense & Control Software and Systems

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

High Performance Electronics Integration in Flexible Technology

High Performance Electronics Integration in Flexible Technology High Performance Electronics Integration in Flexible Technology February 10, 2011 www.americansemi.com 2011 American Semiconductor, Inc. All rights reserved. About American Semiconductor Corporate Headquarters

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Hard Drive Research at NSIC

Hard Drive Research at NSIC Hard Drive Research at NSIC Barry H. Schechtman Executive Director National Storage Industry Consortium THIC Meeting Del Mar, California January 24, 1996 National Storage Industry Consortium THIC 1/26/96

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances

MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances MRAM, XPoint, ReRAM PM Fuel to Propel Tomorrow s Computing Advances Jim Handy Objective Analysis Tom Coughlin Coughlin Associates The Market is at a Nexus PM 2 Emerging Memory Technologies MRAM: Magnetic

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Sustaining Moore s Law with 3D Chips 1

Sustaining Moore s Law with 3D Chips 1 Rebooting Computing, computing, Moore s law, 3D chip manufacture, chip stacking, monolithic 3D, neural network, machine learning Editor: Erik P. DeBenedictis, andia National Laboratories; epdeben@sandia.gov

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET Sector Note April 18, 2014 Semiconductors Overweight Samsung Electronics bets on 14nm FinFET Company Rating TP (KRW) Samsung Electronics BUY 1,800,000 Samsung Electronics and Globalfoundries announce One

More information