ARM big.little Technology Unleashed An Improved User Experience Delivered

Size: px
Start display at page:

Download "ARM big.little Technology Unleashed An Improved User Experience Delivered"

Transcription

1 ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1

2 Agenda Introduction to big.little Technology Benefits of big.little Technology Future big.little systems Summary Questions 2

3 Power Power Power Mobile Application Workloads Web Browsing Mobile users spend a high amount of time on a range of mobile applications*: 38% on web browsing and Facebook 32% on gaming 16% on audio, video and utility Time Gaming Common building blocks in workloads: Short bursts of high intensity Long periods of sustained high intensity Low intensity Time Audio Playback * Source: Flurry Analytics Time 3 Measured on a Quad Cortex-A7 Symmetric Multiprocessing platform

4 Mobile Application Workloads Applications require a mix of performance levels Mobile users want a better user experience but not at a cost of reduced battery life Power Category 1 Burst of High Intensity Workloads Category 2 Sustained Performance at Thermal Limit Category 3 Long-use Low-Intensity Workloads Sustained Performance Envelope Example: Web Browsing Example: Castlemaster Example: Audio Playback 4

5 Percentage of Time Spent in DVFS States Mobile Application Workload Profiles Applications require a mix of performance levels Mobile users want a better user experience but not at a cost of reduced battery life Category 1 Burst High Intensity Workloads Category 2 Sustained Performance at Thermal Limit Category 3 Long-use Low-Intensity Workloads High Mid Low WFI Idle / Power Down Example: Web Browsing Example: Castlemaster Example: Audio Playback 5 Measured on a Quad Cortex-A7 Symmetric Multiprocessing platform

6 big.little Technology Heterogeneous Computing 2x higher performance vs. LITTLE only Up to 75% CPU power savings vs. big only big Cluster Interrupt Control Architecturally Identical Processors High performance tuned big cores Low power tuned LITTLE cores L2 Cache LITTLE Cluster L2 Cache Hardware Coherency Cache Coherent Interconnect (CCI) L1 and L2 snooping between clusters Seamless & Automatic Task Allocation Cache Coherent Interconnect Right Task on the Right Core Up to 40% SOC power savings* 6 * Measured across a set of casual games and common use-cases on an ARM Partner 4xCortex-A15.4xCortex-A7 big.little device

7 Agenda Introduction to big.little Technology Benefits of big.little Technology Future big.little systems Summary Questions 7

8 big.little MP Software Evolution Cluster Migration big.little CPU Migration Global Task Scheduling (big.little MP) Measured Power and Performance on big.little Devices 180% 160% 140% 120% 100% 80% 60% (big.little MP relative to Cluster Migration) Power -29% -38% 180% 160% 140% 120% 100% 80% 60% Performance +20% +60% Cluster Migration big.little MP % 20% 40% 20% Improving Performance and Efficiency 2012 H H % Web Intensive Browsing Gaming (Lower is Better) 0% Web Intensive Browsing Gaming (Higher is Better) 8

9 big.little MP Measured Power and Performance on big.little Devices (big.little MP relative to Cluster Migration) Delivers higher power efficiency Extends battery life 180% 160% 140% 120% 100% Power -29% -38% 180% 160% 140% 120% 100% Performance +60% +20% Cluster Migration big.little MP 80% 80% 60% 60% Improves user experience 40% 20% 0% 40% 20% 0% Web Intensive Browsing Gaming (Lower is Better) Web Intensive Browsing Gaming (Higher is Better) 9

10 big.little MP Improves User Experience (UX) 100% 80% DVFS states: Web Browsing with Audio Normalized Jank* (Less is Better) 58% 65% 47% UX Improvement 60% 40% 20% 0% LITTLE cores handle background tasks and audio Short bursts of performance on big cores enable sustained levels of smooth user-experience CPU0 CPU1 CPU2 CPU3 CPU4 CPU5 LITTLE core Idle LITTLE core Mid Frequency big core Idle LITTLE Cluster big core Mid Frequency big Cluster LITTLE core Low Frequency LITTLE core High Frequency big core Low Frequency big core High Frequency Asphalt 7 Dungeon Defenders Video Playback * Measure of variance in frame rate Measurements conducted on the same big.little platform LITTLE only big.little 10

11 big.little MP Delivers Higher Power Efficiency x4 big.little MP vs. 4x4 Cluster Migration Efficiency Power Efficiency Cluster Migration Frequency residency profile while running Antutu CPU 1.2GHz 1.4GHz Cortex-A15 MP4 A7 cores not running due to cluster migration Cortex-A7 MP4 Cluster Migration SoC thermal budget constrains Cortex-A15 cores to lower frequency resulting in lower benchmark performance 1.7GHz 1.2GHz 1.1GHz 1.3 GHz big.little MP 35% average improvement in power efficiency across Single-Thread and Multi-Thread workloads Cortex-A15 MP4 Cortex-A7 MP4 Cortex-A15 and Cortex-A7 clusters at peak performance within the thermal budget 11

12 big.little MP Extends Battery Life 100% DVFS states : Temple run 200% Relative battery life on big.little MP Cluster Migration 80% 60% Single-thread performance on highly efficient LITTLE cores enable increased power savings 150% big.little MP 100% 40% Cores in the big cluster are powered down 20% 50% 0% LITTLE Cluster big Cluster A7 CPU0 A7 CPU1 A7 CPU2 A7 CPU3 A15 CPU4 A15 CPU5 0% 12 LITTLE core idle LITTLE core Med frequency big core idle big core Med frequency LITTLE core low frequency LITTLE core high frequency big core low frequency big core high frequency

13 big.little MP Support and Services Available big.little MP Software Linaro Landing Teams for Club and Core Members Provides Software Support under NDA Exclusive Landing Teams for each Member company Services and Support Offered through ARM Active Assist Design Review big.little system Technical Support & Application Notes big.little MP Integration and Tuning Guides On-site Software Training 13

14 Agenda Introduction to big.little Technology Benefits of big.little Technology Future big.little systems Summary Questions 14

15 Power (mw) ARMv8-A Enables 64-bit big.little Improved performance on big.little ARMv8 Cortex-A57: Highest performance big CPU in thermal envelope Cortex-A53: Most energy efficient LITTLE CPU 1500 SpecInt2000 Power vs. Performance* Higher performance at same power Extended range of efficiency Cortex-A15 (ARMv7-A big) Cortex-A7 (ARMv7-A LITTLE) Cortex-A57 (ARMv8-A big) Cortex-A53 (ARMv8-A LITTLE) Performance 600(Spec2000) *SpecInt2000 on iso-process & 32-bit

16 Extending big.little MP for Thermal Management ARM Intelligent Power Allocation (IPA) Power transforms to heat Device SoC SoC Tdie Tskin Performance Requests big LITTLE GPU IPA Real time CPU & GPU performance requests Elements: Proactive temperature control Power estimation Dynamic power allocation big LITTLE GPU Allocated Performance Dynamic Allocation by: Performance required Thermal headroom 16

17 Running Frequency Intelligent Power Allocation in Action Three consecutive runs of GLB TRex Max big freq big running freq Max LITTLE freq LITTLE running freq Max GPU freq GPU running freq Time Device temperature is below threshold There are no constraints on power / performance Every actor runs at max required frequency Median filtered chart for clarity 17

18 Running Frequency Intelligent Power Allocation in Action Three consecutive runs of GLB TRex Max big freq big running freq Max LITTLE freq LITTLE running freq Max GPU freq GPU running freq Time High load on GPU & low load on CPU GPU gets allocated most of the power Median filtered chart for clarity 18

19 Running Frequency Intelligent Power Allocation in Action Three consecutive runs of GLB TRex Max big freq big running freq Max LITTLE freq LITTLE running freq Max GPU freq GPU running freq Time High load on CPU & low load on GPU CPU gets allocated most of the power Median filtered chart for clarity 19

20 Running Frequency Intelligent Power Allocation in Action Three consecutive runs of GLB TRex Max big freq big running freq Max LITTLE freq LITTLE running freq Max GPU freq GPU running freq Time Device temperature gets hotter IPA reduces available power to actors This maintains temperature control Median filtered chart for clarity 20

21 IPA vs. Traditional (Relative Performance) Running Frequency Intelligent Power Allocation in Action Three consecutive runs of GLB TRex Max big freq big running freq Max LITTLE freq LITTLE running freq Max GPU freq GPU running freq Median filtered chart for clarity 13% Improvement Time 34% Improvement 36% Improvement 28% Improvement 1st Run 2nd Run 3rd Run Average 21

22 big.little Mobile 2015 Display NIC-400 Display Cortex-A57 GIC-400 Cortex-A53 Mali T720 GPU MMU-400 I/O Coherent Masters NIC-400 MMU-400 MMU-400 CoreLink CCI-400 TZC-400 DMC-400 DRAM (2 * x32 DDR3-1600) Peripherals 22

23 ARM big.little Mobile Roadmap ARM IP Present Future Cortex-A17 Cortex-A15 Cortex-A7 Cortex-A57 Cortex-A53 Next-Gen High Performance big CPUs Next-Gen Power Efficient LITTLE CPUs CCI-400 Next-Gen Cache Coherent Interconnects Intelligent Power Allocation ARM Software Global Task Scheduling bit Android L Support 23

24 Agenda Introduction to big.little Technology Benefits of big.little Technology Future big.little systems Summary Questions 24

25 Summary big.little is fast becoming the de-facto power optimization technology in mobile big.little processing technology delivers best-in-class performance and energy efficiency in devices today Improved user-experience and prolonged battery life measured on real smartphone devices Devices transitioning to advanced big.little Technology with additional features and IP support 25

26 26 Thank You

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

ARM Vision for Thermal Management and Energy Aware Scheduling on Linux

ARM Vision for Thermal Management and Energy Aware Scheduling on Linux ARM Vision for Management and Energy Aware Scheduling on Linux Charles Garcia-Tobin, Software Power Architect, ARM Thomas Molgaard, Director of Product Management, ARM ARM Tech Symposia China 2015 November

More information

ARM Intelligent Power Allocation

ARM Intelligent Power Allocation ARM Intelligent Power Allocation 1 Agenda Background and Motivation What is ARM Intelligent Power Allocation? Results Status and Conclusions 2 Power Consumption Scenarios The illustration to the right

More information

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7

Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Big.LITTLE Processing with ARM Cortex -A15 & Cortex-A7 Improving Energy Efficiency in High-Performance Mobile Platforms Peter Greenhalgh, ARM September 2011 This paper presents the rationale and design

More information

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot Heterogeneous Multi-Processing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Intelligent Power Allocation for Consumer & Embedded Thermal Control

Intelligent Power Allocation for Consumer & Embedded Thermal Control Intelligent Power Allocation for Consumer & Embedded Thermal Control Ian Rickards ARM Ltd, Cambridge UK ELC San Diego 5-April-2016 Existing Linux Thermal Framework Trip1 Trip0 Thermal trip mechanism using

More information

Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology

Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology Helio X20: The First Tri-Gear Mobile SoC with CorePilot 3.0 Technology Tsung-Yao Lin, g-hsien Lee, Loda Chou, Clavin Peng, Jih-g Hsu, Jia-g Chen, John-CC Chen, Alex Chiou, Artis Chiu, David Lee, Carrie

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

A Study on C-group controlled big.little Architecture

A Study on C-group controlled big.little Architecture A Study on C-group controlled big.little Architecture Renesas Electronics Corporation New Solutions Platform Business Division Renesas Solutions Corporation Advanced Software Platform Development Department

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot 2.0. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot 2.0 Heterogeneous Computing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on a chip

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

ARMv8-A CPU Architecture Overview

ARMv8-A CPU Architecture Overview ARMv8-A CPU Architecture Overview Chris Shore Training Manager, ARM ARM Game Developer Day, London 03/12/2015 Chris Shore ARM Training Manager With ARM for 16 years Managing customer training for 15 years

More information

Arm s Latest CPU for Laptop-Class Performance

Arm s Latest CPU for Laptop-Class Performance Arm s Latest CPU for Laptop-Class Performance 2018 Arm Limited Aditya Bedi Arm Tech Symposia India Untethered. Connected. Immersive. Innovation continues to drive growth and performance demands on our

More information

Enabling Arm DynamIQ support. Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro)

Enabling Arm DynamIQ support. Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro) Enabling Arm DynamIQ support Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro) Agenda DynamIQ introduction DynamIQ and Arm Trusted Firmware OS Power Management with DynamIQ L3 partial power-down

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

Energy Discounted Computing On Multicore Smartphones Meng Zhu & Kai Shen. Atul Bhargav

Energy Discounted Computing On Multicore Smartphones Meng Zhu & Kai Shen. Atul Bhargav Energy Discounted Computing On Multicore Smartphones Meng Zhu & Kai Shen Atul Bhargav Overview Energy constraints in a smartphone Li-Ion Battery Arm big.little Hardware Sharing What is Energy Discounted

More information

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc. On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc. Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques

More information

ARM the Company ARM the Research Collaborator

ARM the Company ARM the Research Collaborator UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October 2013 1 The ARM Vision A world where all electronic products and services are

More information

Silvermont. Introducing Next Generation Low Power Microarchitecture: Dadi Perlmutter

Silvermont. Introducing Next Generation Low Power Microarchitecture: Dadi Perlmutter Introducing Next Generation Low Power Microarchitecture: Silvermont Dadi Perlmutter Executive Vice President General Manager, Intel Architecture Group Chief Product Officer Risk Factors Today s presentations

More information

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017

A Secure and Connected Intelligent Future. Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 A Secure and Connected Intelligent Future 1 2017 Arm Copyright Limited Arm 2017 Ian Smythe Senior Director Marketing, Client Business Arm Tech Symposia 2017 Arm: The Industry s Architecture of Choice 50

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Heterogeneous Architecture. Luca Benini

Heterogeneous Architecture. Luca Benini Heterogeneous Architecture Luca Benini lbenini@iis.ee.ethz.ch Intel s Broadwell 03.05.2016 2 Qualcomm s Snapdragon 810 03.05.2016 3 AMD Bristol Ridge Departement Informationstechnologie und Elektrotechnik

More information

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre

The Evolution of the ARM Architecture Towards Big Data and the Data-Centre The Evolution of the ARM Architecture Towards Big Data and the Data-Centre 8th Workshop on Virtualization in High-Performance Cloud Computing (VHPC'13) held in conjunction with SC 13, Denver, Colorado

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

F28HS Hardware-Software Interface: Systems Programming

F28HS Hardware-Software Interface: Systems Programming F28HS Hardware-Software Interface: Systems Programming Hans-Wolfgang Loidl School of Mathematical and Computer Sciences, Heriot-Watt University, Edinburgh Semester 2 2017/18 0 No proprietary software has

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex-A55 for 5G Networks Jeff Maguire Senior Product Manager Infrastructure IP Product Management Arm 2017 Arm Limited Arm Tech Symposia 2017 Agenda 5G networks

More information

Power management for in-vehicle infotainment systems

Power management for in-vehicle infotainment systems Automotive Linux Summit 2017 Power management for in-vehicle infotainment systems 2017/05/31 Takahiko Gomi Automotive Information Solution Business Division Renesas Electronics Corporation 1 Who am I?

More information

Expanding Opportunities in Clamshell Devices. Laurence Bryant VP Strategic Marketing

Expanding Opportunities in Clamshell Devices. Laurence Bryant VP Strategic Marketing Expanding Opportunities in Clamshell Devices Laurence Bryant VP Strategic Marketing 1 PC Mobile Ecosystem Scaling The Richness Of Small Screen Experiences The smartphone and tablet ecosystem is shaping

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Many-core back to the future. Matt Horsnell ARM Research and Development

Many-core back to the future. Matt Horsnell ARM Research and Development Many-core back to the future Matt Horsnell ARM Research and Development 1 Outline Introduction How we got to multi-core Focus on multi-core Evolution to many-core? Predicting the future microprocessor?

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 22

ECE 571 Advanced Microprocessor-Based Design Lecture 22 ECE 571 Advanced Microprocessor-Based Design Lecture 22 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 19 April 2018 HW#11 will be posted Announcements 1 Reading 1 Exploring DynamIQ

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

HOT CHIPS 2014 NVIDIA S DENVER PROCESSOR. Darrell Boggs, CPU Architecture Co-authors: Gary Brown, Bill Rozas, Nathan Tuck, K S Venkatraman

HOT CHIPS 2014 NVIDIA S DENVER PROCESSOR. Darrell Boggs, CPU Architecture Co-authors: Gary Brown, Bill Rozas, Nathan Tuck, K S Venkatraman HOT CHIPS 2014 NVIDIA S DENVER PROCESSOR Darrell Boggs, CPU Architecture Co-authors: Gary Brown, Bill Rozas, Nathan Tuck, K S Venkatraman TEGRA K1 with Dual Denver CPUs The First 64-bit Android Kepler-Class

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

POWER MANAGEMENT AND ENERGY EFFICIENCY

POWER MANAGEMENT AND ENERGY EFFICIENCY POWER MANAGEMENT AND ENERGY EFFICIENCY * Adopted Power Management for Embedded Systems, Minsoo Ryu 2017 Operating Systems Design Euiseong Seo (euiseong@skku.edu) Need for Power Management Power consumption

More information

Power Measurements using performance counters

Power Measurements using performance counters Power Measurements using performance counters CSL862: Low-Power Computing By Suman A M (2015SIY7524) Android Power Consumption in Android Power Consumption in Smartphones are powered from batteries which

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

R goes Mobile: Efficient Scheduling for Parallel R Programs on Heterogeneous Embedded Systems

R goes Mobile: Efficient Scheduling for Parallel R Programs on Heterogeneous Embedded Systems R goes Mobile: Efficient Scheduling for Parallel R Programs on Heterogeneous Embedded Systems, Andreas Lang Olaf Neugebauer, Peter Marwedel 03/07/2017 SFB 876 Parallel Machine Learning Algorithms Challenge:

More information

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014 Profiling and Debugging OpenCL Applications with ARM Development Tools October 2014 1 Agenda 1. Introduction to GPU Compute 2. ARM Development Solutions 3. Mali GPU Architecture 4. Using ARM DS-5 Streamline

More information

UEFI ARM Update. UEFI PlugFest March 18-22, 2013 Andrew N. Sloss (ARM, Inc.) presented by

UEFI ARM Update. UEFI PlugFest March 18-22, 2013 Andrew N. Sloss (ARM, Inc.) presented by presented by UEFI ARM Update UEFI PlugFest March 18-22, 2013 Andrew N. Sloss (ARM, Inc.) Updated 2011-06-01 UEFI Spring PlugFest March 2013 www.uefi.org 1 AGENDA economics technology status summary questions

More information

Artificial Intelligence Enriched User Experience with ARM Technologies

Artificial Intelligence Enriched User Experience with ARM Technologies Artificial Intelligence Enriched User Experience with ARM Technologies Daniel Heo Senior Segment Manager Mobile, BSG, ARM ARM Tech Forum Singapore July 12 th 2017 Global AI survey: the world is ready 71

More information

Programming for Multicore & ARM big.little Technology. Ed Plowman Director of Solutions Architecture Media Processing Group, ARM

Programming for Multicore & ARM big.little Technology. Ed Plowman Director of Solutions Architecture Media Processing Group, ARM Programming for Multicore & ARM big.little Technology Ed Plowman Director of Solutions Architecture Media Processing Group, ARM 1 Multicore & ARM big.little Technology The case for multiprocessing Platform

More information

Abstract. Testing Parameters. Introduction. Hardware Platform. Native System

Abstract. Testing Parameters. Introduction. Hardware Platform. Native System Abstract In this paper, we address the latency issue in RT- XEN virtual machines that are available in Xen 4.5. Despite the advantages of applying virtualization to systems, the default credit scheduler

More information

Cortex-A15 MPCore Software Development

Cortex-A15 MPCore Software Development Cortex-A15 MPCore Software Development Course Description Cortex-A15 MPCore software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to

More information

Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd

Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd Multicore for mobile: The More the Merrier? Roger Shepherd Chipless Ltd 1 Topics The Mobile Computing Platform The Application Processor CMOS Power Model Multicore Software: Complexity & Scaling Conclusion

More information

Techniques and tools for measuring energy efficiency of scientific software applications

Techniques and tools for measuring energy efficiency of scientific software applications Techniques and tools for measuring energy efficiency of scientific software applications 16th international workshop on Advanced Computing and Analysis Techniques in Physics Research Giulio Eulisse Fermi

More information

Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms

Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms Quantifying the Energy Cost of Data Movement for Emerging Smartphone Workloads on Mobile Platforms Arizona State University Dhinakaran Pandiyan(dpandiya@asu.edu) and Carole-Jean Wu(carole-jean.wu@asu.edu

More information

The Bifrost GPU architecture and the ARM Mali-G71 GPU

The Bifrost GPU architecture and the ARM Mali-G71 GPU The Bifrost GPU architecture and the ARM Mali-G71 GPU Jem Davies ARM Fellow and VP of Technology Hot Chips 28 Aug 2016 Introduction to ARM Soft IP ARM licenses Soft IP cores (amongst other things) to our

More information

Jae Wook Lee. SIC R&D Lab. LG Electronics

Jae Wook Lee. SIC R&D Lab. LG Electronics Jae Wook Lee SIC R&D Lab. LG Electronics Contents Introduction Why power validation on mobile application processor? Then, what to validate? Who is in charge of validation? Power Validation Components

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

Advanced IP solutions enabling the autonomous driving revolution

Advanced IP solutions enabling the autonomous driving revolution Advanced IP solutions enabling the autonomous driving revolution Chris Turner Director, Emerging Technology & Strategy, Embedded & Automotive Arm Shanghai, Beijing, Shenzhen Arm Tech Symposia 2017 Agenda

More information

Position Paper: OpenMP scheduling on ARM big.little architecture

Position Paper: OpenMP scheduling on ARM big.little architecture Position Paper: OpenMP scheduling on ARM big.little architecture Anastasiia Butko, Louisa Bessad, David Novo, Florent Bruguier, Abdoulaye Gamatié, Gilles Sassatelli, Lionel Torres, and Michel Robert LIRMM

More information

ARMv8-A Software Development

ARMv8-A Software Development ARMv8-A Software Development Course Description ARMv8-A software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop software for

More information

Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC?

Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC? Supercomputing with Commodity CPUs: Are Mobile SoCs Ready for HPC? Nikola Rajovic, Paul M. Carpenter, Isaac Gelado, Nikola Puzovic, Alex Ramirez, Mateo Valero SC 13, November 19 th 2013, Denver, CO, USA

More information

A case for bad big.little switching: How to scale power-performance in SI-HMP

A case for bad big.little switching: How to scale power-performance in SI-HMP A case for bad big.little switching: How to scale power-performance in SI-HMP Seehwan Yoo seehwan.yoo@mobileos.dankook.ac.kr Sang-Ah Lee sanga13@mobileos.dankook.ac.kr YoonSeok Shim sys11@mobileos.dankook.ac.kr

More information

Power Management for Embedded Systems

Power Management for Embedded Systems Power Management for Embedded Systems Minsoo Ryu Hanyang University Why Power Management? Battery-operated devices Smartphones, digital cameras, and laptops use batteries Power savings and battery run

More information

Bifrost - The GPU architecture for next five billion

Bifrost - The GPU architecture for next five billion Bifrost - The GPU architecture for next five billion Hessed Choi Senior FAE / ARM ARM Tech Forum June 28 th, 2016 Vulkan 2 ARM 2016 What is Vulkan? A 3D graphics API for the next twenty years Logical successor

More information

Evolving IP configurability and the need for intelligent IP configuration

Evolving IP configurability and the need for intelligent IP configuration Evolving IP configurability and the need for intelligent IP configuration Mayank Sharma Product Manager ARM Tech Symposia India December 7 th 2016 Increasing IP integration costs per node $140 $120 $M

More information

Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones

Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones HOT CHIPS 2009 August 24 2009 Rajesh Patel Lead Architect, Lincroft SoC Intel Corporation Legal Disclaimer INFORMATION

More information

Agenda. System Performance Scaling of IBM POWER6 TM Based Servers

Agenda. System Performance Scaling of IBM POWER6 TM Based Servers System Performance Scaling of IBM POWER6 TM Based Servers Jeff Stuecheli Hot Chips 19 August 2007 Agenda Historical background POWER6 TM chip components Interconnect topology Cache Coherence strategies

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

Hypervisors at Hyperscale

Hypervisors at Hyperscale Hypervisors at Hyperscale ARM, Xen, Servers and Evolution of the Data Center Larry Wikelius Co-Founder & VP Software 1 Overview l Market Dynamics l Technology Trends l Roadmaps Where are we today l Use

More information

Core 2 vs I-series. How Far Have We Really Come?

Core 2 vs I-series. How Far Have We Really Come? Core 2 vs I-series How Far Have We Really Come? Appendix 1. Introduction 2. Road map 3. General specifications 4. Hardware subtleties 5. Technology difference 6. Advantages of the new architecture 7. Conclusion

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Lecture 23 Mahadevan Gomathisankaran April 27, 2010 04/27/2010 Lecture 23 CSCE 4610/5610 1 Reminder ABET Feedback: http://www.cse.unt.edu/exitsurvey.cgi?csce+4610+001 Student

More information

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver

SMARTPHONE HARDWARE: ANATOMY OF A HANDSET. Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver SMARTPHONE HARDWARE: ANATOMY OF A HANDSET Mainak Chaudhuri Indian Institute of Technology Kanpur Commonwealth of Learning Vancouver Outline of topics What is the hardware architecture of a How does communication

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks

DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks DynamIQ Processor Designs Using Cortex-A75 & Cortex- A55 for 5G Networks 2017 Arm Limited David Koenen Sr. Product Manager, Arm Arm Tech Symposia 2017, Taipei Agenda 5G networks Ecosystem software to support

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market

Fast, Scalable and Energy Efficient IO Solutions: Accelerating infrastructure SoC time-to-market Fast, calable and Energy Efficient IO olutions: Accelerating infrastructure oc time-to-market ridhar Valluru Product Manager ARM Tech ymposia 2016 Intelligent Flexible Cloud calability and Flexibility

More information

Shared Memory Multiprocessors. Symmetric Shared Memory Architecture (SMP) Cache Coherence. Cache Coherence Mechanism. Interconnection Network

Shared Memory Multiprocessors. Symmetric Shared Memory Architecture (SMP) Cache Coherence. Cache Coherence Mechanism. Interconnection Network Shared Memory Multis Processor Processor Processor i Processor n Symmetric Shared Memory Architecture (SMP) cache cache cache cache Interconnection Network Main Memory I/O System Cache Coherence Cache

More information

LCA14-104: GTS- A solution to support ARM s big.little technology. Mon-3-Mar, 11:15am, Mathieu Poirier

LCA14-104: GTS- A solution to support ARM s big.little technology. Mon-3-Mar, 11:15am, Mathieu Poirier LCA14-104: GTS- A solution to support ARM s big.little technology Mon-3-Mar, 11:15am, Mathieu Poirier Today s Presentation: Things to know about Global Task Scheduling (GTS). MP patchset description and

More information

Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems

Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems Matthew J. Walker*, Stephan Diestelhorst, Geoff V. Merrett* and Bashir M. Al-Hashimi* *University of Southampton Arm Ltd.

More information

FTF-CON-F0403. An Introduction to Heterogeneous Multiprocessing (ARM Cortex -A + Cortex- M) on Next-Generation i.mx Applications Processors

FTF-CON-F0403. An Introduction to Heterogeneous Multiprocessing (ARM Cortex -A + Cortex- M) on Next-Generation i.mx Applications Processors An Introduction to Heterogeneous Multiprocessing (ARM Cortex -A + Cortex- M) on Next-Generation i.mx Applications Processors FTF-CON-F0403 Glen Wienecke i.mx Systems Architect A P R. 2 0 1 4 TM External

More information

UTILIZING A BIG.LITTLE TM SOLUTION IN AUTOMOTIVE

UTILIZING A BIG.LITTLE TM SOLUTION IN AUTOMOTIVE UTILIZING A BIG.LITTLE TM SOLUTION IN AUTOMOTIVE JUN. 20, 2018 YOSHIYUKI ITO AUTOMOTIVE INFORMATION SOLUTION BUSINESS DIVISION RENESAS ELECTRONICS CORPORATION Today s Topics & Goal Requirement for big.little

More information

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG

ARM and x86 on Qseven & COM Express Mini. Zeljko Loncaric, Marketing Engineer, congatec AG ARM and x86 on Qseven & COM Express Mini Zeljko Loncaric, Marketing Engineer, congatec AG Content COM Computer-On-Module Concept Qseven Key Points The Right ARM Integration with Freescale i.mx6 Qseven

More information

ARM Multimedia IP: working together to drive down system power and bandwidth

ARM Multimedia IP: working together to drive down system power and bandwidth ARM Multimedia IP: working together to drive down system power and bandwidth Speaker: Robert Kong ARM China FAE Author: Sean Ellis ARM Architect 1 Agenda System power overview Bandwidth, bandwidth, bandwidth!

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Senior Technical Marketing Manager Shenzhen / ARM Tech Forum / The Ritz-Carlton June 14, 2016 Agenda Introduction Security Foundations on Cortex-A

More information

Gen-Z Memory-Driven Computing

Gen-Z Memory-Driven Computing Gen-Z Memory-Driven Computing Our vision for the future of computing Patrick Demichel Distinguished Technologist Explosive growth of data More Data Need answers FAST! Value of Analyzed Data 2005 0.1ZB

More information

Snapdragon S4 System on Chip

Snapdragon S4 System on Chip Snapdragon S4 System on Chip Analyst Webinar 10/19/2011 2011 QUALCOMM Incorporated. All rights reserved. 1 2011 QUALCOMM Incorporated. All rights reserved. 2 New Snapdragon Brand and Roadmap Features Overview

More information