On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

Size: px
Start display at page:

Download "On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc."

Transcription

1 On-chip Networks Enable the Dark Silicon Advantage Drew Wingard CTO & Co-founder Sonics, Inc.

2 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 2

3 Sonics Leader in System IP for SoCs Sonics enables designers to integrate any IP from anywhere, anytime Easy IP re-use Connecting third party IP / subsystems Total system approach: Intelligent memory scheduling Optimal power-aware designs Data flow services: QoS, Security firewalls World-class engineering team Largest team of on-chip network engineers Strong local presence in Japan Commanding presence in digital entertainment, mobile and wireless 8 of top 10 semi SoC companies Results: 2 Billion units shipped Over 200 design completions 3

4 ARM and Sonics ARM and Sonics have been working together to mutually support SoC customers for more than 10 years Multiple generation of ARM s flagship CPUs for Application Processors Multiple generations of AMBA Sonics fully supports ARM SoC initiatives AMBA, TrustZone, etc. Recently announced expanded partnership focused on enhanced interoperability and power management Plus a patent licensing arrangement 4

5 How is Your Current SoC Project Going? Are you hitting your performance targets? Did you achieve the frequency you hoped for? Are you staying within your power budgets? Did you see your throughput decrease as frequency increased? Did timing issues at layout force you to re-work your architecture? 5

6 Common Architecture for Over 16 Years A common on-chip network architecture Structure: IP core sockets, isolated from network fabric by intelligent agents Sockets: AMBA ACE, 3/4, AHB, APB, OCP 1/2/3 Protocols: completely non-blocking multi-threaded fabrics Features: End-to-end QoS, security, error and power management, etc. Software: consistent register-level views Development environment: unified SonicsStudio tools enables a family of micro-architectures SonicsGN: highly scalable multi-domain router-based fabric at up to 2 GHz SonicsSX: low latency cascaded cross-bar fabric Sonics3220: efficient sharing of many peripherals spread across SoC and supporting System IP MemMax scheduler: delivering highest DRAM throughput and QoS 6

7 533MHz Example: Tablet Application Processor Cortex A15 x 4 CPU CPU 1333MHz 1066MHz 533MHz CPU CPU L2 Cache Cortex A7 x 4 CPU CPU CPU CPU L2 Cache Mali-T658 Quad core GPU GPU GPU GPU Power Domains CoreLink CCI-400 Coherency Fabric 133MHz ROM 267MHz Security 533MHz SRAM 267MHz LCD Controller 200MHz Cam 1 Secure ROM DMA HDMI Video Codec Cam 2 133MHz 267MHz 133MHz 267MHz 200MHz SonicsGN On-chip Network 1066MHz 533MHz Sonics MemMax Memory Scheduler 533MHz Sonics MemMax Memory Scheduler 133MHz Ethernet PCIe 400MHz Audio 133MHz SATA DRAM Cont. DRAM Cont. 267MHz Sonics3220 Peripheral Network 1066MHz 1066MHz 7 133MHz USB APB Peripherals 133MHz

8 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 8

9 Market Survey: Increasing SoC Complexity Design complexity increasing Power/Performance/Area remain key challenge Complexity driven Frequency broad range of implementation points 51% need > 1GHz Multiple power domains Better battery life Coping with Dark Silicon Domains often tied to key subsystems Source: Sonics conducted survey during October 2012, with 318 responses 9

10 Power Consumption is a Major Concern Battery-powered devices Battery life is a key selling feature Battery size impacts weight, pocket-ability, hand-fit, etc Line-powered devices need to be concerned with power, too Power consumption impacts cost of packaging Power supply may be limited (e.g. PoE, Energy Star, EU Energy Label) Cooling issues No new SoC development can afford to ignore power consumption 10

11 The Dark Silicon Challenge Moore s Law enables integration of massive functionality on SoC More than 1 billion transistors at 28nm But leakage current limits how many transistors can be powered Multiple threshold voltages, dynamic voltage control helps The result: Dark Silicon the imperative to dynamically manage which parts of the SoC are powered Many people believe that Dark Silicon is a problem Sonics believes that it is an opportunity to re-think how we partition SoCs to better exploit performance while minimizing power/energy 11

12 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 12

13 difficulty Power Management Techniques General techniques Clock gating Stop/start subsystem clocks Dynamic clock frequency On/off voltage domains Dynamic voltage/frequency domains (DVFS) IP-specific techniques ARM big.little (use optimum IP for loading) Power managers implement the techniques Software: flexible, but slow Hardware: very responsive, but less flexible 13

14 Reducing Clock Power Reduce the clock frequency when possible Stop the clock when nothing useful to be done To get the best result, this needs to be architected into the IP Prefer a hierarchical approach - Fine-grain clock gating At a register or state machine level, when there is nothing useful to do, stop the clock. - Toggling just 1 clock gate instead of n loads, where n = number of local flops Clock gate 14

15 Reducing Clock Power To get the best result, this needs to be architected into the IP Prefer a hierarchical approach - Fine-grain clock gating At a register or state machine level, when there is nothing useful to do, stop the clock. - Toggling just 1 clock gate instead of n loads, where n = number of local flops - Course grain clock gating At a component level, when all internal clock gates block the clock, then gate the clock to the component. course grain clock gate Reduce the clock frequency when possible Stop the clock when nothing useful to be done - Toggling just 1 load instead of m loads, where m = number of fine-grain clock gates. 15

16 Relative Power Measured Benefits of SGN Clock Gating vs. Conventional Sonics-provided Fine Gating and Idle Detection Synthesis Gating + Sonics Idle Detection Synthesis Gating Only Automatic idle detection % 25% 50% 75% 100% Relative Throughput

17 Reducing Clock Power Reduce the clock frequency when possible Stop the clock when nothing useful to be done To get the best result, this needs to be architected into the IP Prefer a hierarchical approach - Fine-grain clock gating At a register or state machine level, when there is nothing useful to do, stop the clock. - Toggling just 1 clock gate instead of n loads, where n = number of local flops - Course grain clock gating At a component level, when all internal clock gates block the clock, then gate the clock to the component. - Toggling just 1 load instead of m loads, where m = number of fine-grain clock gates. This approach allows extremely effective clock gating Typical Sonics designs achieve > 99.5% clock gating, many > 99.9% For example: 16 free running flops in a network with >40K flops (99.96%) 17

18 Reducing Voltage-related Power Reduce or remove the voltage when possible Partition the design into multiple power domains Reduced voltage can save significant dynamic power: P=C*V 2* f V1 OFF V5 V4 V2 V3 V2 OFF V5 V3 V1 V3 Switching off the voltage saves even more: leakage=0 18

19 Reducing Voltage-related Power Reduce or remove the voltage when possible Partition the design into multiple power domains Reduced voltage can save significant dynamic power: P=C*V 2* f V1 V2 V3 Switching off the voltage saves even more: leakage=0 Especially effective when large parts of the SoC can be switched off 19

20 Reducing Voltage-related Power Reduce or remove the voltage when possible Partition the design into multiple power domains Reduced voltage can save significant dynamic power: P=C*V 2* f A15 OFF V1 A7 OFF V2 V3 Switching off the voltage saves even more: leakage=0 Especially effective when large parts of the SoC can be switched off ARM big.little is a good example! 20

21 Challenge: Enabling Power Domains for the SoC With standard fabrics, the natural choice is to create boundaries at the bus interface The bus must be powered if any of the attached cores are powered - Forces bus into an always-on portion of the SoC, or - Requires partitioning fabric at power domain boundaries, complicating design Requires some kind of domain crossing at the bus interface - Which may have MANY wires I I I I I T T T T T 21

22 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 22

23 533MHz Efficient IP Integration Universal connectivity: AMBA (, 4/ACE, AHB, APB ), OCP, PIF and proprietary cores Serialized router-based network: Reduced wire count up to 1/16 HDMI 4 64-Pins 16-Pins Tablet SoC 1333MHz 1333MHz 533MHz Cortex A15 Cortex A7 CoreLink CCI-400 Mali GPU LCD HDMI Video Video Encode Cam Audio 4 OCP SonicsGN On-chip Network DRAM DRAM SRAM ROM PCle Enet SATA USB 23

24 533MHz High Performance Universal connectivity: AMBA (, 4/ACE, AHB, APB), OCP, PIF and proprietary cores Serialized router-based network: Reduced wire count up to 1/16 High speed: 2GHz Tablet SoC 1333MHz 1333MHz 533MHz Cortex A15 Cortex A7 Mali GPU LCD HDMI Video Video Encode Cam Audio CoreLink CCI OCP 2GHz Fabric Speed SonicsGN On-chip Network DRAM DRAM SRAM ROM PCle Enet SATA USB 24

25 533MHz Highest Bandwidth Universal connectivity: AMBA (, 4/ACE, AHB, APB), OCP, PIF and proprietary cores Serialized router-based network: Reduced wire count up to 1/16 High speed: 2GHz Virtual Channels for efficient link sharing Shared Link Fewer wires Up to 16 Channels Tablet SoC 1333MHz 1333MHz 533MHz Cortex A15 Cortex A7 Mali GPU LCD HDMI Video Video Encode Cam Audio CoreLink CCI OCP SonicsGN On-chip Network DRAM DRAM SRAM ROM PCle Enet SATA USB 25

26 533MHz Security Universal connectivity: AMBA (, 4/ACE, AHB, APB), OCP, PIF and proprietary cores Serialized router-based network: Reduced wire count up to 1/16 High speed: 2GHz Virtual Channels for efficient link sharing Firewalls: Flexible security domains: TrustZone capable Tablet SoC 1333MHz 1333MHz 533MHz Cortex A15 Cortex A7 CoreLink CCI-400 Mali GPU LCD HDMI 4 Video OCP Video Encode Firewall at any Target Cam Audio SonicsGN On-chip Network DRAM DRAM SRAM ROM PCle Enet SATA USB 26

27 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 27

28 Challenge: Enabling Power Domains for the SoC With standard fabrics, the natural choice is to create boundaries at the bus interface The bus/cross-bar must be powered if any of the attached cores are on - Forces fabric into an always-on portion of the SoC, or - Requires partitioning fabric at power domain boundaries, complicating design Requires some kind of domain crossing at the bus interface - Which may have MANY wires I I I I I T T T T T 28

29 Using the Network to Enable Power Domains Could use a bus-style approach Place power boundaries at IP sockets This approach leaves power on the table I I I I I T T T T T 29

30 Using the Network to Enable Power Domains No need to power the agent (network interface) when the IP core is off I I I I I Always on or off together? T T T T T 30

31 Using the Network to Enable Power Domains No need to power the agent (network interface) when the IP core is off Network components can be partitioned inside power domains! I I I I I T T T T T 31

32 Safe Operation with Powered Down Domains Initiator agent clears path to target to enable safe shutdown of power domain Initiator agent returns errors on access to powered-off domains Initiator agent knows power state of each domain along its routing paths Initiator Agent I I I I I T T T T T 32

33 Network can Automatically Wake-up Components Initiator agent knows which components need to wake up 1. Hold traffic 2. Send a request to the system power manager 3. Receive response 4. Release traffic I I I I I Power Manager T T T T T 33

34 Tablet SoC Design Example Power Aware On-Chip Network Domain partitioning Clock gating Domain on/off control Tablet SoC Domain 1 Domain 2 Domain 3 Domain 4 Subdom 1 Subdom 2 Subdom 3 Cortex A15 Cortex A7 Mali GPU LCD HDMI Video Video Encode Cam Audio SonicsGN On-chip Network CoreLink CCI-400 Domain 5 Domain 6 Domain 7 DRAM Contrl. DRAM Contrl. SRAM ROM PCle Enet SATA USB Temp. Sensor PMIC I/F 34

35 Network Power Management Unlimited number of domains: Power, Voltage, Frequency Domains can cross anywhere in the network Synchronous, Asynchronous, Mesochronous crossing Domain 1 Domain 2 Domain 3 Domain 4 Subdom 1 Subdom 2 Subdom 3 Cortex A15 Cortex A7 Mali GPU LCD HDMI Video Video Encode Cam Audio SonicsGN On-chip Network CoreLink CCI-400 Domain 5 Domain 6 Domain 7 DRAM Contrl. DRAM Contrl. SRAM ROM PCle Enet SATA USB 35

36 Domain Power Manager Network Power Management Unlimited number of domains: Power, Voltage, Frequency Domains can cross anywhere in the network Synchronous, Asynchronous, Mesochronous crossing Power bundle at all domains Fast wake and shutdown Auto wake Power Down Req Power Down Ack Auto Wake Enable Auto Wake Reg Domain 1 Domain 2 Domain 3 Domain 4 Subdom 1 Subdom 2 Subdom 3 Cortex A15 Cortex A7 Mali GPU LCD HDMI Video Video Encode Cam Audio SonicsGN On-chip Network CoreLink CCI-400 Domain 5 Domain 6 Domain 7 DRAM Contrl. DRAM Contrl. SRAM ROM PCle Enet SATA USB 36

37 Agenda Sonics history and corporate summary Power challenges in advanced SoCs General power management techniques On-chip network features and benefits Optimizing dark silicon with on-chip networks Future work 37

38 Concept: Power Manager IP to Leverage Network Highly Integrated, Power Aware On-Chip Network + On-Chip Power Manager + Integrated Tool Chain = Automated, Fine Grained, Highly Responsive Dark Silicon Solutions Tablet SoC Domain 1 Domain 2 Domain 3 Domain 4 Subdom 1 Subdom 2 Subdom 3 CPU1 CPU2 GPU LCD HDMI Video Video Encode Cam Audio Coherency Fabric SonicsGN On-chip Network Domain 5 Domain 6 Domain 7 DRAM Contrl. DRAM Contrl. SRAM ROM PCle Enet SATA USB Temp. Sensor PMIC I/F ucontroller Future Power Manager 38 March , Sonics, Inc. Proprietary NDA Required

39 Power Power Integrated Power Management Benefits Complete power management solution: Advanced on-chip network System power manager: hardware and software Advanced tooling environment Wake up CPU to switch power state Conventional Enables much finer grained power control Fast & safe transition to lower power states Power on just in time (auto wake-up) Much less CPU overhead Keep CPU powered off more Avoid lots of context switches Power Savings Time Hardwarecontrolled switching Power Savings Future Power Manager Power Savings Earlier completion Power Savings Time 39

40 Future Power Management Benefits Sonics: Uniquely positioned to provide advanced SoC power management Capability On-chip network that spans arbitrary collections of power domains Power/voltage/clock domain aware onchip network with power management interface Auto-wake algorithm Integrate network capture and performance analysis tools Automated support for domain partitioning Automated correct-by-construction approach Benefit Easily implement many domains Supports late/iterative partitioning choices Safe and fast hardware-controlled shutdown Auto-wakeup signals to power manager Ensures minimum ON time Minimize leakage and idle power Reduced time and effort Reduced time and effort Supports many more domains without TTM and verification risks Can save HALF of total SoC power consumption! 40

41 THANK YOU 41

42 Managing Power with SonicsGN Flexible power domain support Asynch/mesochronous Isolation/level shifters HW-controlled safe shutdown Automatic wakeup Benefits: More domains Quicker shutdown Faster wakeup Keep more dark, more of the time DDR DDR MHz 133 MHz 533 MHz 533 MHz 533 MHz 133 MHz On-die SRAM DRAM Ch. 1 DRAM Ch. 2 On-die ROM IP Control Peripherals S S S S S S T T T T T T 533 MHz 1333 MHz 1066 MHz 533 MHz Cortex- A15 Cluster M 128 I A 2x2 B 2x3 D 1x3 Cortex- A7 Cluster Mali- T658 Cluster CCI-400 M S E 4x1 C 2x3 Display Ctrl. M 32 H 5x2 HDMI M 64 Video Video Engine Encode M M I T I I I I SonicsGN Request Network 267 MHz 133 MHz I 4x1 267 MHz 267 MHz F 4x1 J 3x1 G 4x1 I I I I I I I M M M M M M M Cam 1 Cam 2 Audio USB 1 USB 2 USB 3 USB OTG 200 MHz 200 MHz 400 MHz 133 MHz 133 MHz 133 MHz 133 MHz T I I I I I I I I S M M PCIe E-net 32 M Security Engine 267 MHz 133 MHz 267 MHz M M M M SD/ M DMA SATA UFS CF/ HSI MMC 267 MHz 133 MHz 133 MHz 133 MHz 133 MHz Power Domain Boundary 42 50% SoC Power Reduction!

43 Reducing power consumption Engineers have developed many power saving techniques Reduce the clock frequency when possible Stop the clock if nothing useful to be done Reduce the voltage when possible (P=CV 2 F) Remove (switch) the voltage in many cases Develop islands of (frequency, voltage, switched power) Part of the SoC may need to be running full-speed While other portions can be slowed, stopped, or switched off How do these techniques affect the creation and use of IP cores? How do these techniques affect the SoC infrastructure? 43

Overcoming the Memory System Challenge in Dataflow Processing. Darren Jones, Wave Computing Drew Wingard, Sonics

Overcoming the Memory System Challenge in Dataflow Processing. Darren Jones, Wave Computing Drew Wingard, Sonics Overcoming the Memory System Challenge in Dataflow Processing Darren Jones, Wave Computing Drew Wingard, Sonics Current Technology Limits Deep Learning Performance Deep Learning Dataflow Graph Existing

More information

Solving the System-Level Design Riddle. October 2014

Solving the System-Level Design Riddle. October 2014 Solving the System-Level Design Riddle What is the System Design Riddle? With no errors? Then marketing says they need it How do complete my design ontime? Onbudget? Sooner With higher performance n less

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink Robert Kaye 1 Agenda Once upon a time ARM designed systems Compute trends Bringing it all together with CoreLink 400

More information

Ncore Cache Coherent Interconnect

Ncore Cache Coherent Interconnect Ncore Cache Interconnect Technology Overview, 24 May 2016 Craig Forrest Chief Technology Officer David Kruckemyer Chief Hardware Architect Copyright 2016 Arteris 24 May 2016 Contents About Arteris Caches,

More information

Building blocks for 64-bit Systems Development of System IP in ARM

Building blocks for 64-bit Systems Development of System IP in ARM Building blocks for 64-bit Systems Development of System IP in ARM Research seminar @ University of York January 2015 Stuart Kenny stuart.kenny@arm.com 1 2 64-bit Mobile Devices The Mobile Consumer Expects

More information

SoC Communication Complexity Problem

SoC Communication Complexity Problem When is the use of a Most Effective and Why MPSoC, June 2007 K. Charles Janac, Chairman, President and CEO SoC Communication Complexity Problem Arbitration problem in an SoC with 30 initiators: Hierarchical

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Stefan Rosinger Director, Product Management Arm Arm TechCon 2017 Agenda Market growth and trends DynamIQ

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving

Cortex-A75 and Cortex-A55 DynamIQ processors Powering applications from mobile to autonomous driving Cortex-A75 and Cortex- DynamIQ processors Powering applications from mobile to autonomous driving Lionel Belnet Sr. Product Manager Arm Arm Tech Symposia 2017 Agenda Market growth and trends DynamIQ technology

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

The Bifrost GPU architecture and the ARM Mali-G71 GPU

The Bifrost GPU architecture and the ARM Mali-G71 GPU The Bifrost GPU architecture and the ARM Mali-G71 GPU Jem Davies ARM Fellow and VP of Technology Hot Chips 28 Aug 2016 Introduction to ARM Soft IP ARM licenses Soft IP cores (amongst other things) to our

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect

Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Heterogeneous, Distributed and Scalable Cache-Coherent Interconnect Scale system performance faster than Moore s Law will currently allow K. Charles Janac MSoC Conference 2016 Nara, Japan, July 13, 2016

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

ARM big.little Technology Unleashed An Improved User Experience Delivered

ARM big.little Technology Unleashed An Improved User Experience Delivered ARM big.little Technology Unleashed An Improved User Experience Delivered Govind Wathan Product Specialist Cortex -A Mobile & Consumer CPU Products 1 Agenda Introduction to big.little Technology Benefits

More information

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM

Integrating CPU and GPU, The ARM Methodology. Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM Integrating CPU and GPU, The ARM Methodology Edvard Sørgård, Senior Principal Graphics Architect, ARM Ian Rickards, Senior Product Manager, ARM The ARM Business Model Global leader in the development of

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

Combining Arm & RISC-V in Heterogeneous Designs

Combining Arm & RISC-V in Heterogeneous Designs Combining Arm & RISC-V in Heterogeneous Designs Gajinder Panesar, CTO, UltraSoC gajinder.panesar@ultrasoc.com RISC-V Summit 3 5 December 2018 Santa Clara, USA Problem statement Deterministic multi-core

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013

Getting the Most out of Advanced ARM IP. ARM Technology Symposia November 2013 Getting the Most out of Advanced ARM IP ARM Technology Symposia November 2013 Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block are now Sub-Systems Cortex

More information

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp. Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration Faraday Technology Corp. Table of Contents 1 2 3 4 Faraday & FA626TE Overview Why We Need an 800MHz ARM v5 Core

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 24

ECE 571 Advanced Microprocessor-Based Design Lecture 24 ECE 571 Advanced Microprocessor-Based Design Lecture 24 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 25 April 2013 Project/HW Reminder Project Presentations. 15-20 minutes.

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

SpiNNaker - a million core ARM-powered neural HPC

SpiNNaker - a million core ARM-powered neural HPC The Advanced Processor Technologies Group SpiNNaker - a million core ARM-powered neural HPC Cameron Patterson cameron.patterson@cs.man.ac.uk School of Computer Science, The University of Manchester, UK

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

ARM instruction sets and CPUs for wide-ranging applications

ARM instruction sets and CPUs for wide-ranging applications ARM instruction sets and CPUs for wide-ranging applications Chris Turner Director, CPU technology marketing ARM Tech Forum Taipei July 4 th 2017 ARM computing is everywhere #1 shipping GPU in the world

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

ARM the Company ARM the Research Collaborator

ARM the Company ARM the Research Collaborator UMIC Day 13 ARM the Company ARM the Research Collaborator John Goodacre Director Technology and Systems Aachen 15 th October 2013 1 The ARM Vision A world where all electronic products and services are

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Module 18: "TLP on Chip: HT/SMT and CMP" Lecture 39: "Simultaneous Multithreading and Chip-multiprocessing" TLP on Chip: HT/SMT and CMP SMT

Module 18: TLP on Chip: HT/SMT and CMP Lecture 39: Simultaneous Multithreading and Chip-multiprocessing TLP on Chip: HT/SMT and CMP SMT TLP on Chip: HT/SMT and CMP SMT Multi-threading Problems of SMT CMP Why CMP? Moore s law Power consumption? Clustered arch. ABCs of CMP Shared cache design Hierarchical MP file:///e /parallel_com_arch/lecture39/39_1.htm[6/13/2012

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Ultra Low Power GPUs for Wearables

Ultra Low Power GPUs for Wearables Ultra Low Power GPUs for Wearables Georgios Keramidas January 2015 The Company Who we are? Think Silicon is a privately held company founded in 2007. What we do? Development of low power GPU IP semiconductor

More information

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor PD Marketing ARM 1 Introduction to Cortex-A15 & Seahawk ARM Cortex-A15 is a high performance engine for superphones,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

Next Generation Enterprise Solutions from ARM

Next Generation Enterprise Solutions from ARM Next Generation Enterprise Solutions from ARM Ian Forsyth Director Product Marketing Enterprise and Infrastructure Applications Processor Product Line Ian.forsyth@arm.com 1 Enterprise Trends IT is the

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

High-Speed NAND Flash

High-Speed NAND Flash High-Speed NAND Flash Design Considerations to Maximize Performance Presented by: Robert Pierce Sr. Director, NAND Flash Denali Software, Inc. History of NAND Bandwidth Trend MB/s 20 60 80 100 200 The

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ECE 471 Embedded Systems Lecture 3

ECE 471 Embedded Systems Lecture 3 ECE 471 Embedded Systems Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 September 2018 Announcements New classroom: Stevens 365 HW#1 was posted, due Friday Reminder:

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

A 400Gbps Multi-Core Network Processor

A 400Gbps Multi-Core Network Processor A 400Gbps Multi-Core Network Processor James Markevitch, Srinivasa Malladi Cisco Systems August 22, 2017 Legal THE INFORMATION HEREIN IS PROVIDED ON AN AS IS BASIS, WITHOUT ANY WARRANTIES OR REPRESENTATIONS,

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

The Rubber Jigsaw Puzzle

The Rubber Jigsaw Puzzle The Rubber Jigsaw Puzzle Floorplanning for network-on-chip (NoC) Benjamin Hong ( 홍병철 ), Brian Huang ( 黃繼樟 ) presented by Jonah Probell Arteris, Inc. September 18, 2015 SNUG Austin SNUG 2015 1 Thanks to

More information

3D Graphics in Future Mobile Devices. Steve Steele, ARM

3D Graphics in Future Mobile Devices. Steve Steele, ARM 3D Graphics in Future Mobile Devices Steve Steele, ARM Market Trends Mobile Computing Market Growth Volume in millions Mobile Computing Market Trends 1600 Smart Mobile Device Shipments (Smartphones and

More information

Building supercomputers from embedded technologies

Building supercomputers from embedded technologies http://www.montblanc-project.eu Building supercomputers from embedded technologies Alex Ramirez Barcelona Supercomputing Center Technical Coordinator This project and the research leading to these results

More information

ARM Security Solutions and Numonyx Authenticated Flash

ARM Security Solutions and Numonyx Authenticated Flash ARM Security Solutions and Numonyx Authenticated Flash How to integrate Numonyx Authenticated Flash with ARM TrustZone* for maximum system protection Introduction Through a combination of integrated hardware

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency MediaTek CorePilot Heterogeneous Multi-Processing Technology Delivering extreme compute performance with maximum power efficiency In July 2013, MediaTek delivered the industry s first mobile system on

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus

Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Asynchronous on-chip Communication: Explorations on the Intel PXA27x Peripheral Bus Andrew M. Scott, Mark E. Schuelein, Marly Roncken, Jin-Jer Hwan John Bainbridge, John R. Mawer, David L. Jackson, Andrew

More information

A Study on C-group controlled big.little Architecture

A Study on C-group controlled big.little Architecture A Study on C-group controlled big.little Architecture Renesas Electronics Corporation New Solutions Platform Business Division Renesas Solutions Corporation Advanced Software Platform Development Department

More information

08 - Address Generator Unit (AGU)

08 - Address Generator Unit (AGU) October 2, 2014 Todays lecture Memory subsystem Address Generator Unit (AGU) Schedule change A new lecture has been entered into the schedule (to compensate for the lost lecture last week) Memory subsystem

More information

Designing Security & Trust into Connected Devices

Designing Security & Trust into Connected Devices Designing Security & Trust into Connected Devices Eric Wang Sr. Technical Marketing Manager Tech Symposia China 2015 November 2015 Agenda Introduction Security Foundations on ARM Cortex -M Security Foundations

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

Kontron s ARM-based COM solutions and software services

Kontron s ARM-based COM solutions and software services Kontron s ARM-based COM solutions and software services Peter Müller Product Line Manager COMs Kontron Munich, 4 th July 2012 Kontron s ARM Strategy Why ARM COMs? How? new markets for mobile applications

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved.

SoC Designer. Fast Models System Creator Cycle Models Reference. Version 9.2. Copyright 2017 ARM Limited. All rights reserved. SoC Designer Version 9.2 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights reserved. 100992_0902_00 System Creator Cycle Models Reference Copyright 2017 ARM Limited. All rights

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information