Digital System Test and Testable Design

Size: px
Start display at page:

Download "Digital System Test and Testable Design"

Transcription

1 Digital System Test and Testable Design

2 wwwwwwwwwwww

3 Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures

4 Zainalabedin Navabi Worcester Polytechnic Institute Department of Electrical & Computer Engineering Worcester, MA USA ISBN e-isbn DOI / Springer New York Dordrecht Heidelberg London Springer Science+Business Media, LLC 2011 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 This book is dedicated to my wife, Irma, and sons Aarash and Arvand.

6 wwwwwwwwwwww

7 Preface This is a book on test and testability of digital circuits in which test is spoken in the language of design. In this book, the concepts of testing and testability are treated together with digital design practices and methodologies. We show how testing digital circuits designing testable circuits can take advantage of some of the well-established RT-level design and verification methodologies and tools. The book uses Verilog models and testbenches for implementing and explaining fault simulation and test generation algorithms. In the testability part, it describes various scan and BIST methods in Verilog and uses Verilog testbenches as virtual testers to examine and evaluate these testability methods. In designing testable circuits, we use Verilog testbenches to evaluate, and thus improve testability of a design. The first part of the book develops Verilog test environments that can perform gate-level fault simulation and test generation. This part uses Verilog PLI along with Verilog s powerful testbench development facilities for modeling hardware and programing test environments. The second part of the book uses Verilog as a hardware design tool for describing DFT and BIST hardware. In this part, Verilog is used as a hardware description language describing synthesizable testable hardware. Throughout the book, Verilog simulation helps developing and evaluating test methods and testability hardware constructs. This book professes a new approach to teaching test. Use of Verilog and Verilog PLI for test applications is what distinguishes this book from other test and testability books. As HDLs were used in late 1970s for teaching computer architectures, today, HDLs can be used to illustrate test methodologies and testability architectures that are otherwise illustrated informally by flow charts, graphs, and block diagrams. Verilog eliminates ambiguities in test algorithms and BIST and DFT hardware architectures, and it clearly describes the architecture of the testability hardware and its test sessions. Describing on-chip test hardware in Verilog helps evaluating the related algorithms in terms of hardware overhead and timing and thus feasibility of using them on SoC chips. Further support for this approach comes in use of testbenches. Using PLI in developing testbenches and virtual testers gives us a powerful programing tool interfaced with hardware described in Verilog. This mixed hardware/software environment facilitates the description of complex test programs and test strategies. vii

8 wwwwwwwwwwww

9 Acknowledgments When I first thought of using a hardware description language for test purposes, I started using VHDL models for test purposes in my course on digital system testing at the University of Tehran. After several years of teaching this course, we switched to Verilog and a set of library components that facilitated this usage of Verilog was developed. The groups of students who developed the software and helped me in the formation of the materials are important contributors to this work. The student, who took the responsibility for the development of the software package was Nastaran Nemati. She managed the development of the complete library by the time of her graduation in Her efforts contributed significantly to this work. I thank my students at Worcester Polytechnic Institute in Massachusetts, USA, and the University of Tehran for sitting at my presentations or watching them online and making useful suggestions. When the actual development of the book started, my graduate student, Fatemeh (Negin) Javaheri, became the key person with whom I discussed my ideas. She was always available for consulting with me and her ideas helped significantly in shaping the structure of the book. She later took responsibility for developing the material for the chapter on test compression. Negin continues to work with me on my research, and she is looking forward to the next book that I want to write. Another important contributor, also a graduate student at the University of Tehran, is Somayeh Sadeghi Kohan. Somayeh developed the materials for the chapter on boundary scan, and in the final stages of this work she was very helpful reviewing chapters and suggesting changes. The feedbacks she provided and changes she suggested were most helpful. Nastaran Nemati helped developing the HDL chapter, and Parisa Kabiri and Atie Lotfi also contributed to some of the chapters and helped reviewing the materials. As always, and as it is with all my books, Fatemeh Asgari, who has been my assistant for the past 20 years, became responsible for managing the project. She managed the group of students who did research, developed software, collected materials, and prepared the final manuscript with its text and artwork. Fatemeh s support and management of my writing and research projects have always been key to the successful completion of these projects. I cannot thank her enough for the work she has done for me throughout the years. My work habits and time I spend away from my family working on my research and writing projects have been particularly difficult for them. However, I have always had their support, understanding, and encouragement for all my projects. My wife, Irma, has always been a great help for me providing an environment that I can spend hours and hours on my writing projects. I thank Irma, and my sons Aarash and Arvand. August 2010 Zainalabedin Navabi navabi@ece.wpi.edu ix

10 wwwwwwwwwwww

11 Contents 1 Basic of Test and Role of HDLs Design and Test RTL Design Process Postmanufacturing Test Test Concerns Test Methods Testability Methods Testing Methods Cost of Test HDLs in Digital System Test Hardware Modeling Developing Test Methods Virtual Testers Testability Hardware Evaluation Protocol Aware ATE ATE Architecture and Instrumentation Digital Stimulus and Measure Instruments DC Instrumentation AC Instrumentation RF Instrumentation Ate Summary... References Verilog HDL for Design and Test Motivations of Using HDLs for Developing Test Methods Using Verilog in Design Using Verilog for Simulation Using Verilog for Synthesis Using Verilog in Test Good Circuit Analysis Fault List Compilation and Testability Analysis Fault Simulation Test Generation Testability Hardware Design xi

12 xii 3 Contents 2.4 Basic Structures of Verilog Modules, Ports, Wires, and Variables Levels of Abstraction Logic Value System Combinational Circuits Transistor-Level Description Gate-Level Description Equation-Level Description Procedural Level Description Instantiating Other Modules Sequential Circuits Registers and Shift Registers State Machine Coding A Complete Example (Adding Machine) Control/Data Partitioning Adding Machine Specification CPU Implementation Testbench Techniques Testbench Techniques A Simple Combinational Testbench A Simple Sequential Testbench Limiting Data Sets Synchronized Data and Response Handling Random Time Intervals Text IO Simulation Code Coverage PLI Basics Access Routines Steps for HDL/PLI Implementation Fault Injection in the HDL/PLI Environment Summary... References Fault and Defect Modeling Fault Modeling Fault Abstraction Functional Faults Structural Faults Structural Gate Level Faults Recognizing Faults Stuck-Open Faults Stuck-at-0 Faults Stuck-at-1 Faults Bridging Faults State-Dependent Faults Multiple Faults Single Stuck-at Structural Faults Detecting Single Stuck-at Faults

13 Contents xiii 3.3 Issues Related to Gate Level Faults Detecting Bridging Faults Undetectable Faults Redundant Faults Fault Collapsing Indistinguishable Faults Equivalent Single Stuck-at Faults Gate-Oriented Fault Collapsing Line-Oriented Fault Collapsing Problem with Reconvergent Fanouts Dominance Fault Collapsing Fault Collapsing in Verilog Verilog Testbench for Fault Collapsing PLI Implementation of Fault Collapsing Summary... References Fault Simulation Applications and Methods Fault Simulation Gate-Level Fault Simulation Fault Simulation Requirements An HDL Environment Sequential Circuit Fault Simulation Fault Dropping Related Terminologies Fault Simulation Applications Fault Coverage Fault Simulation in Test Generation Fault Dictionary Creation Fault Simulation Technologies Serial Fault Simulation Parallel Fault Simulation Concurrent Fault Simulation Deductive Fault Simulation Comparison of Deductive Fault Simulation Critical Path Tracing Fault Simulation Differential Fault Simulation Summary... References Test Pattern Generation Methods and Algorithms Test Generation Basics Boolean Difference Test Generation Process Fault and Tests Terminologies and Definitions Controllability and Observability Controllability Observability

14 xiv Contents Probability-Based Controllability and Observability SCOAP Controllability and Observability Distances Based Random Test Generation Limiting Number of Random Tests Combinational Circuit RTG Sequential Circuit RTG Summary... References Deterministic Test Generation Algorithms Deterministic Test Generation Methods Two-Phase Test Generation Fault-Oriented TG Basics The D-Algorithm PODEM (Path-Oriented Test Generation) Other Deterministic Fault-Oriented TG Methods Fault-Independent Test Generation Sequential Circuit Test Generation Test Data Compaction Forms of Test Compaction Test Compatibility Static Compaction Dynamic Compaction Summary... References Design for Test by Means of Scan Making Circuits Testable Tradeoffs Testing Sequential Circuits Testability of Combinational Circuits Testability Insertion Improving Observability Improving Controllability Sharing Observability Pins Sharing Control Pins Reducing Select Inputs Simultaneous Control and Observation Full Scan DFT Technique Full Scan Insertion Flip-Flop Structures Full Scan Design and Test Scan Architectures Full Scan Design Shadow Register DFT Partial Scan Methods Multiple Scan Design Other Scan Designs

15 Contents xv 7.5 RT Level Scan Design RTL Design Full Scan RTL Design Multiple Scan Scan Designs for RTL Summary... References Standard IEEE Test Access Methods Boundary Scan Basics Boundary Scan Architecture Test Access Port Boundary Scan Registers TAP Controller The Decoder Unit Select and Other Units Boundary Scan Test Instructions Mandatory Instructions Board Level Scan Chain Structure One Serial Scan Chain Multiple-Scan Chain with One Control Test Port Multiple-Scan Chains with One TDI, TDO but Multiple TMS Multiple-Scan Chain, Multiple Access Port RT Level Boundary Scan Inserting Boundary Scan Test Hardware for CUT Two Module Test Case Virtual Boundary Scan Tester Boundary Scan Description Language Summary... References Logic Built-in Self-test BIST Basics Memory-based BIST BIST Effectiveness BIST Types Designing a BIST Test Pattern Generation Engaging TPGs Exhaustive Counters Ring Counters Twisted Ring Counter Linear Feedback Shift Register Output Response Analysis Engaging ORAs One s Counter Transition Counter Parity Checking Serial LFSRs (SISR) Parallel Signature Analysis

16 xvi Contents 9.4 BIST Architectures BIST-related Terminologies A Centralized and Separate Board-level BIST Architecture (CSBL) Built-in Evaluation and Self-test (BEST) Random Test Socket (RTS) LSSD On-chip Self Test Self-testing Using MISR and SRSG Concurrent BIST BILBO Enhancing Coverage RT Level BIST Design CUT Design, Simulation, and Synthesis RTS BIST Insertion Configuring the RTS BIST Incorporating Configurations in BIST Design of STUMPS RTS and STUMPS Results Summary... References Test Compression Test Data Compression Compression Methods Code-based Schemes Scan-based Schemes Decompression Methods Decompression Unit Architecture Cyclical Scan Chain Code-based Decompression Scan-based Decompression Summary... References Memory Testing by Means of Memory BIST Memory Testing Memory Structure Memory Fault Model Stuck-At Faults Transition Faults Coupling Faults Bridging and State CFs Functional Test Procedures March Test Algorithms March C- Algorithm MATS+ Algorithm Other March Tests

17 Contents 11.5 xvii MBIST Methods Simple March MBIST March C- MBIST Disturb MBIST Summary... References Appendix A Using HDLs for Protocol Aware ATE Appendix B Gate Components for PLI Test Applications Appendix C Programming Language Interface Test Utilities Appendix D IEEE Std Boundary Scan Verilog Description Appendix E Boundary Scan IEEE Virtual Tester Appendix F Generating Netlist by Register Transfer Level Synthesis (NetlistGen) Index

18 wwwwwwwwwwww

19 Introduction The main focus of the book is on digital systems test and design testability. The book uses Verilog for design, test analysis, and testability of digital systems. In the first chapter, we discuss the basics of test and testable design while discussing the aspects of test that hardware description languages can be used for. This part discusses the entire digital system testing in terms of test methods, testability methods, and testing methods, and it discusses the use of HDLs in each aspect. After the introductory parts, we have included a chapter on the basics of Verilog and using this language for design and test. The body of the book assumes this basic Verilog-based RT-level design knowledge and builds test and simulation concepts upon that. Starting in Chap. 3, the focus of the book turns to test issues, such as fault collapsing, fault simulation, and test generation. This part that is regarded as covering test methods has four chapters that start with the presentation of fault models, followed by fault simulation methods, and then two chapters on test generation, discussing random HDL-based test generation and deterministic test. For such applications, we use Verilog gate models and PLI-based testbenches that are capable of injecting faults and performing fault simulation and test generation. In this part, Verilog testbenches act as test programs for managing the structural model of a circuit for performing fault simulation, calculating fault coverage, and test pattern generation. The testability part of the book begins in Chap. 7. There are four chapters in this part (Chaps. 7 10) in which various testability methods, built-in self-test architectures, and test compression methods are discussed. We use Verilog coding for describing the hardware of various testability methods and BIST architectures. Verilog testbenches, in this part, act as virtual testers examining testability and test hardware embedded in a design. Together, PLI-based fault simulation and test generation Verilog environments of Chaps. 3 6, and Verilog coding of testability hardware provide a complete environment for testability and BIST evaluation. Design and refinement of test hardware can be achieved after such evaluations. This part also discusses IEEE standards for boundary scan and core testing, and uses Verilog for describing these standards and using them in designs. The last chapter is on memory testing with a focus on MBIST that we describe in Verilog. Chapters Basics of Test and Role of HDLs Basics of test are covered in this chapter. We talk about the importance of digital system testing and define various test terminologies. Economy of test is discussed and reducing test time by means of better test methods, more testable designs, and more efficient testing is discussed. Relation between design and test are discussed in this chapter. xix

20 xx Introduction Verilog HDL for Design and Test This chapter talks about the Verilog hardware description language for the description of digital systems and the corresponding testbenches. We discuss combinational and sequential circuit modeling and present several examples. Only the key language constructs that is needed for understanding models and architectures in the rest of the book are presented here. This chapter shows the use of Verilog for developing good design testbenches. Several templates for testbench development are discussed. In doing so, the use of PLI and developing PLI functions are presented. The testbench part is extended in the chapters that follow. Fault and Defect Modeling Transistor and gate-level faults are described first. Verilog simulations show the correspondence between lower-level transistor faults and upper level gate faults. We discuss functional and structural faults and the distinction between them. Structural gate-level faults are discussed and the justification of this model is illustrated by the use of simulations. We elaborate on the stuck-at fault model and show PLI functions for fault injection. After this, the chapter discusses fault equivalence and several fault collapsing techniques. We develop Verilog and PLI functions and testbenches for generating fault lists and fault collapsing. Several benchmark circuits are tested with these testbenches. Fault Simulation Applications and Methods The chapter begins discussing the use of fault simulation and its applications in design and test. We then discuss various fault simulation techniques, including serial, parallel, concurrent, deductive, differential, and critical path tracing fault simulation. For several of these methods, we develop a testbench that injects stuck-at faults and performs simulations. Verilog PLI-based testbenches for partial implementation of other fault simulation techniques are also discussed. Fault dictionaries are discussed and created using Verilog and PLI testbenches. Using these utilities, we also discuss and implement test coverage, fault dropping, and other fault simulation-related concepts. The format of fault lists is taken from the previous chapter in which Verilog and PLI testbenches generated such lists. Several complete Verilog testbenches with PLI are developed and utilized in this chapter. Test Pattern Generation Methods This chapter begins with the presentation of various testability techniques, including probability based, structural, and SCOPE parameter calculation. PLI functions in Verilog testbenches are developed for calculating controllability and observability parameters of internal nodes of gate-level circuits. Detectability and its role in the determination of random tests are also discussed. After this first part, we discuss various random test generation methods and take advantage of testability measures of the first part. This chapter uses Verilog testbenches for generating random tests and evaluating them by Verilog-based fault simulation.

21 Introduction xxi Deterministic Test Generation We started the presentation of test generation in Chap. 5 with presenting random test generation. This chapter discusses deterministic test generation, which we consider Phase 2 of the test generation process. We discuss algorithms like, the D-algorithm, PODEM, CPT, and some of the simplified and derivatives of these algorithms. Verilog testbenches using Verilog PLI functions for deciding when to stop random test generation and when to start deterministic TG are developed. Test compaction can be regarded as the next phase of test generation. A part of this chapter is dedicated to this topic and several test compaction methods and their Verilog implementations are discussed. Design for Test by Means of Scan In this and the chapters that follow, in addition to using Verilog for developing test environments, Verilog is also used for describing actual hardware constructs. In this chapter on DFT, we show synthesizable Verilog codes for the DFT architectures that we present. The chapter begins with the presentation of several ad hoc design-for-test techniques. We then show full-scan and various partial-scan architectures, and for the purpose of unambiguous description of such hardware structures, their corresponding Verilog codes are shown. Test methods and testbenches that we developed in the previous chapters of this book are utilized here for scan design evaluations and refinements. We show how a testbench can be used for helping us configure a scan design and generate tests for it. We also show how a Verilog PLI testbench can be used for the application and testing of a scanbased design. This latter application of testbenches is what we refer to as a virtual tester. Standard IEEE Test Access Methods This chapter discusses IEEE test standards. Hardware structures corresponding to these standards are discussed in Verilog. Virtual testers that operate board and core testing hardware are described as Verilog testbenches. Through the use of Verilog, we are able to show the architecture and utilization of these standards. Interfacing between various components of IEEE Std and how the standard interacts with the circuit under test, on one side, and the test equipment, on the other side, are clarified here by the use of Verilog hardware descriptions and testbenches. Logic Built-in Self-test This chapter starts with the methods of designing on-chip test data generation and output analysis. We then incorporate these components in built-in self-test architectures for on-chip testing. In this chapter, we show synthesizable Verilog codes for all BIST architectures that we present. We show classical BIST architectures, such as RTS, BILBO, and BEST; furthermore, on-line BIST, concurrent BIST, and BISTs for special architectures are discussed. We use Verilog for unambiguous description of such hardware structures. And, we use Verilog and PLI testbenches, which we developed for fault injection and fault simulation, for BIST evaluation and configuration. Determination of BIST test sessions for a better fault coverage and the determination of the corresponding signatures are done by the use of Verilog simulations.

22 xxii Introduction Test Data Compression This chapter discusses test compression techniques, their usage in design-for-test, and their corresponding hardware implementations. We discuss Huffman, Run-length, Golomb, and other coding techniques used in test compression. In addition, scan compression techniques and their corresponding on-chip scan structures are discussed. Compression algorithms are discussed and hardware for decompression hardware as it is placed on a chip is described in Verilog. Memory Testing by Means of Memory BIST This chapter begins with a presentation of memory structures and corresponding fault models. Various March test techniques are described and an analysis justifying various test algorithms is given. We then discuss several memory BIST architectures and show their corresponding Verilog descriptions. Operating an MBIST is demonstrated by means of a Verilog testbench. Appendixes A. B. C. D. E. F. Using HDLs for Protocol Aware ATEs Gate Components for PLI Test Applications PLI Test Utilities IEEE Std Boundary Scan Verilog Description Boundary Scan IEEE Std Virtual Tester Generating Netlist by RTL Synthesis (NetlistGen)

23 Software and Course Materials The material for this book has been developed while teaching courses on test and testability at several universities. We are making these materials available. We have developed a set of Verilog PLI functions for test development that have been used in several courses, and have reached an acceptable maturity. For test applications using original RT-level designs, a software program for a netlist generation has been developed that uses Xilinx ISE to synthesize and convert the output to a netlist that our PLI functions can use. Many of the netlists of the examples used in this book have been generated by this software. Applications that can be performed with the set of our PLI functions and netlist include fault collapsing, random test generation, fault simulation, and testability measurements. Also, virtual testers discussed in this book use the netlist and PLI functions for simulation. Presentation materials for all the chapters are also available (PowerPoint slides). In addition, when teaching this course, I have noticed that students sometimes need a review of Verilog or logic design concepts. I have developed short videos that students can use to get ready for the material presented in the book. Videos and manuals for the use of software are also available and can be obtained from the author. A list of materials that are available at the time of publication of this book is shown below. Other materials and software programs are being developed. Interested readers and users can contact the author for obtaining the updates. PowerPoint presentation slides for the chapters (PowerPoint files). The complete Verilog PLI Test package (Software). Netlist generator from RTL descriptions (Software). Design and testbench files used the book (Verilog). Verilog tutorials (Video). Logic design tutorials (Video). Problem sets, exams, and projects (PDF files). Author s navabi@ece.wpi.edu; zain@navabi.com xxiii

24 safdsfdsf

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Digital System Test and Testable Design

Digital System Test and Testable Design Digital System Test and Testable Design wwwwwwwwwwww Zainalabedin Navabi Digital System Test and Testable Design Using HDL Models and Architectures Zainalabedin Navabi Worcester Polytechnic Institute Department

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University Specific BIST Architectures Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University General Concepts Test-per-scan architectures Multiple scan chains Test-per-clock architectures BIST conclusions

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog Digital VLSI Design with Verilog John Michael Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Polytechnic Institute Second Edition John Michael Williams Wilsonville, OR USA Additional

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

The Verilog Hardware Description Language. Fifth Edition

The Verilog Hardware Description Language. Fifth Edition The Verilog Hardware Description Language Fifth Edition Donald Thomas Philip Moorby The Verilog Hardware Description Language Fifth Edition Donald Thomas Carnegie Mellon University Pittsburgh, PA USA Philip

More information

On-Chip Instrumentation

On-Chip Instrumentation On-Chip Instrumentation Neal Stollon On-Chip Instrumentation Design and Debug for Systems on Chip Neal Stollon HDL Dynamics, Dallas TX, USA neals@hdldynamics.com ARM9, Coresight, ETM, ETM9, MMD are trademarks

More information

A Practical Introduction to Hardware/Software Codesign

A Practical Introduction to Hardware/Software Codesign A Practical Introduction to Hardware/Software Codesign Patrick R. Schaumont A Practical Introduction to Hardware/Software Codesign 123 Dr. Patrick R. Schaumont Virginia Tech Bradley Dept. Electrical &

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Digital Design and Implementation with Field Programmable Devices

Digital Design and Implementation with Field Programmable Devices Digital Design and Implementation with Field Programmable Devices This page intentionally left blank Digital Design and Implementation with Field Programmable Devices Zainalabedin Navabi Northeastern University

More information

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOGf HARDWARE DESCRIPTION LANGUAGE by Donald E. Thomas Carnegie Mellon University and Philip R. Moorby Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

A Tutorial Introduction 1

A Tutorial Introduction 1 Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction 1 Getting Started A Structural Description Simulating the binarytoeseg Driver Creating Ports For the Module

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

The Verilog Hardware Description Language, Fifth Edition

The Verilog Hardware Description Language, Fifth Edition The Verilog Hardware Description Language, Fifth Edition The Verilog Hardware Description Language, Fifth Edition Donald E. Thomas ECE Department Carnegie Mellon University Pittsburgh, PA Philip R. Moorby

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Hardware Acceleration of EDA Algorithms

Hardware Acceleration of EDA Algorithms Hardware Acceleration of EDA Algorithms Kanupriya Gulati Sunil P. Khatri Hardware Acceleration of EDA Algorithms Custom ICs, FPGAs and GPUs 123 Kanupriya Gulati 109 Branchwood Trl Coppell TX 75019 USA

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

Guide to RISC Processors

Guide to RISC Processors Guide to RISC Processors Sivarama P. Dandamudi Guide to RISC Processors for Programmers and Engineers Sivarama P. Dandamudi School of Computer Science Carleton University Ottawa, ON K1S 5B6 Canada sivarama@scs.carleton.ca

More information

EECS 579: Built-in Self-Test 3. Regular Circuits

EECS 579: Built-in Self-Test 3. Regular Circuits EECS 579: Built-in Self-Test 3 Outline Implementing BIST by regularization Adder ALU RAM Commercial BIST approaches LOCSD STUMPS CSTP Case Study Bosch AE11 microcontroller John P. Hayes University of Michigan

More information

Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4

Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Stephen L. Campbell, Jean-Philippe Chancelier and Ramine Nikoukhah Modeling and Simulation in Scilab/Scicos with ScicosLab 4.4 Second Edition

More information

Digital Logic Design Lab

Digital Logic Design Lab Digital Logic Design Lab DEPARTMENT OF ELECTRICAL ENGINEERING LAB BROCHURE DIGITAL LOGIC DESIGN LABORATORY CONTENTS Lab Venue... 3 Lab Objectives & Courses... 3 Lab Description & Experiments... 4 Hardware

More information

Part II: Laboratory Exercise

Part II: Laboratory Exercise SYDIC-Training Course on Digital Systems Testing and Design for Testability Part II: Laboratory Exercise Gert Jervan (gerje@ida.liu.se) Embedded Systems Laboratory (ESLAB) Linköping University March, 2003

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Lecture 28 IEEE JTAG Boundary Scan Standard

Lecture 28 IEEE JTAG Boundary Scan Standard Lecture 28 IEEE 49. JTAG Boundary Scan Standard Motivation Bed-of-nails tester System view of boundary scan hardware Elementary scan cell Test Access Port (TAP) controller Boundary scan instructions Summary

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Application-Specific Mesh-based Heterogeneous FPGA Architectures

Application-Specific Mesh-based Heterogeneous FPGA Architectures Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez H abib Mehrez Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez Habib Mehrez Université Pierre

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

Robust SRAM Designs and Analysis

Robust SRAM Designs and Analysis Robust SRAM Designs and Analysis Jawar Singh Saraju P. Mohanty Dhiraj K. Pradhan Robust SRAM Designs and Analysis 123 Jawar Singh Indian Institute of Information Technology Design and Manufacturing Dumna

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, and M. Violante Politecnico di Torino - Dipartimento di Automatica

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

The Verilog Hardware Description Language

The Verilog Hardware Description Language Donald Thomas Philip Moorby The Verilog Hardware Description Language Fifth Edition 4y Spri nnger Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction Getting Started

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

RTL HARDWARE DESIGN USING VHDL. Coding for Efficiency, Portability, and Scalability. PONG P. CHU Cleveland State University

RTL HARDWARE DESIGN USING VHDL. Coding for Efficiency, Portability, and Scalability. PONG P. CHU Cleveland State University ~ ~~ ~ ~~ ~ RTL HARDWARE DESIGN USING VHDL Coding for Efficiency, Portability, and Scalability PONG P. CHU Cleveland State University A JOHN WlLEY & SONS, INC., PUBLICATION This Page Intentionally Left

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

This content has been downloaded from IOPscience. Please scroll down to see the full text.

This content has been downloaded from IOPscience. Please scroll down to see the full text. This content has been downloaded from IOPscience. Please scroll down to see the full text. Download details: IP Address: 148.251.232.83 This content was downloaded on 22/11/2018 at 08:50 Please note that

More information

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi

FP&A Simulation. A Complete Step-by-Step Guide. Ray Salemi FP&A Simulation A Complete Step-by-Step Guide Ray Salemi Contents Acknowledgments vii Foreword ix Preface xi The Boiled Frog 1 A Boiled Story 3 Root Cause Analysis 4 The "Verification Complete" Milestone

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 00 0 ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK Course Name : DIGITAL DESIGN USING VERILOG HDL Course Code : A00 Class : II - B.

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CONTENTS Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii) CHAPTER 1: NUMBER SYSTEM 1.1 Digital Electronics... 1 1.1.1 Introduction... 1 1.1.2 Advantages of Digital Systems...

More information

Development of a Boundary Scan Test controller creation tool

Development of a Boundary Scan Test controller creation tool Eindhoven University of Technology MASTER'S THESIS Development of a Boundary Scan Test controller creation tool by J.H. Coenen Supervisors: Prof. Ir. M.T.M. Segers Ir. M.N.M. Muris The faculty of Electronical

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Programmable Logic Devices II

Programmable Logic Devices II São José February 2015 Prof. Hoeller, Prof. Moecke (http://www.sj.ifsc.edu.br) 1 / 28 Lecture 01: Complexity Management and the Design of Complex Digital Systems Prof. Arliones Hoeller arliones.hoeller@ifsc.edu.br

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Logic Design Process Combinational logic networks Functionality. Other requirements: Size. Power. Primary inputs Performance.

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Scheduling in Distributed Computing Systems Analysis, Design & Models

Scheduling in Distributed Computing Systems Analysis, Design & Models Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) by Deo Prakash

More information

MLR Institute of Technology

MLR Institute of Technology MLR Institute of Technology Laxma Reddy Avenue, Dundigal, Quthbullapur (M), Hyderabad 500 043 Course Name Course Code Class Branch ELECTRONICS AND COMMUNICATIONS ENGINEERING QUESTION BANK : DIGITAL DESIGN

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece.

ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES. Edited by. DIMITRIS GIZOPOULOS University of Piraeus, Greece. ADVANCES IN ELECTRONIC TESTING CHALLENGES AND METHODOLOGIES Edited by DIMITRIS GIZOPOULOS University of Piraeus, Greece 4y Springer Foreword xiii by Vishwani D. Agrawal Preface xvii by Dimitris Gizopoulos

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS

DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS DESIGN-FOR-TESTABILITY AND DIAGNOSIS METHODS TO TARGET UNMODELED DEFECTS IN INTEGRATED CIRCUITS AND MULTI-CHIP BOARDS by Hongxia Fang Department of Electrical and Computer Engineering Duke University Date:

More information

World Class Verilog & SystemVerilog Training

World Class Verilog & SystemVerilog Training World Class Verilog & SystemVerilog Training Sunburst Design - Expert Verilog-2001 FSM, Multi-Clock Design & Verification Techniques by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

Circuit Partitioning for Application-Dependent FPGA Testing

Circuit Partitioning for Application-Dependent FPGA Testing Circuit Partitioning for Application-Dependent FPGA Testing by Rui Zhen Feng B.Eng, Hefei University of Technology, 1996 A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

An Integrated System-Level Design for Testability Methodology

An Integrated System-Level Design for Testability Methodology Linköping Studies in Science and Technology Dissertation No. 660 An Integrated System-Level Design for Testability Methodology by Erik Larsson Department of Computer and Information Science Linköpings

More information

Using MSC/NASTRAN: Statics and Dynamics

Using MSC/NASTRAN: Statics and Dynamics Using MSC/NASTRAN: Statics and Dynamics A.D. Cifuentes Using MSC/NASTRAN Statics and Dynamics With 94 Illustrations Springer-Verlag New York Berlin Heidelberg London Paris Tokyo Hong Kong Arturo O. Cifuentes

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG ZAS37/justin.hernandez@motorola.com Philip Giangarra RU433/SPS/NCSG

More information

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

1 Design Process HOME CONTENTS INDEX. For further assistance,  or call your local support center 1 Design Process VHDL Compiler, a member of the Synopsys HDL Compiler family, translates and optimizes a VHDL description to an internal gate-level equivalent. This representation is then compiled with

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011 www..com www..com Set No. 1 DIGITAL DESIGN THROUGH VERILOG (Common to Electronics & Communication Engineering, Bio-Medical Engineering and Electronics & Computer Engineering) 1. a) What is Verilog HDL?

More information