Power Management Techniques for Design Closure. Jyothi Jujare Rishi Chawla

Size: px
Start display at page:

Download "Power Management Techniques for Design Closure. Jyothi Jujare Rishi Chawla"

Transcription

1 Power Management Techniques for Design Closure Jyothi Jujare Rishi Chawla

2 2 Agenda Introduction RTL Power Optimization Power Management through Clock Gating Interoperability of Clock Gating Conclusion Leakage Optimization with Multi-V th libraries Power Compiler low leakage design flows Case study Conclusion Summary

3 3 Key Power Management Areas Low Power Thermal Reliability 90nm Technology Applications Wireless Handheld Concerns Battery life Leakage power Dynamic power Applications Microprocessors Graphics/multimedia Concerns Thermal management Packaging, cooling cost Dynamic & Leakage power Applications All 90nm designs Concerns Chip failure Voltage-drop Electromigration

4 Synopsys Power Management Power Management Throughout the Design Flow 4 Galaxy Design Compiler Power Compiler Dynamic and leakage power optimization within DC / PC PrimeTime SI, PrimePower Module Compiler Power Compiler JupiterXT Physical Compiler Astro, Astro-Rail Star-RCXT DFT Compiler Milkyway PrimePower Peak and average power gate-level analysis JupiterXT Power grid creation, power network analysis Astro-Rail Hercules Voltage-drop and electromigration analysis

5 5 Where Does Power Go? Microprocessor1 Microprocessor2 MPEG2 Decoder ATM Switch Logic Clock Memory I/O Relative Power Dissipation, ISSCC Clock is major contributor to power dissipation

6 Typical Synchronous Load Implementation 6 always (posedge (posedge CLK) CLK) if if (EN) (EN) D_out D_out = D_in D_in OR always@ always@ (posedge (posedgeclk) clk) Q <= <= (enable) (enable)? D_in D_in :: Q; Q; Synchronous-load-enable implementation Levels of Hierarchy Reg Bank FF D_in combo EN Reg Bank D_out OR always@ always@ (posedge (posedgeclk) clk) case case (enable) (enable) 1 b1: 1 b1: Q <= <= D_in; D_in; 1 b0: 1 b0: Q <= <= Q; Q; endcase endcase OR CLK

7 7 Traditional AND based clock gating D_in D_out EN GCLK Reg CLK CLK EN GCLK Glitches in enable signal appear at clock

8 8 Latch-Based Clock Gating D_in EN CLK latch EN1 Active-low latch Reg GCLK D_out Latch transparent when clock is low AND transparent when clock is high CLK EN EN1 Structure behaves like a master-slave, which captures enable signal at posedge of clock GCLK

9 9 Internal Clock Skew D_in EN CLK A CLK@ A latch EN1 B skew delay GCLK Reg D_out Clock at B later than A Skew > Delay Glitches can be propagated EN EN1 CLK@ B GCLK Glitch! Skew < Delay(Clk-Q)

10 Manage Skew with Integrated Clock Gating Cell (ICG) 10 set_clock_gating_style -positive [list integrated:clkg] D_in EN CLK A latch Reg B GCLK SNPS_CLOCK_GATE_HIGH D_out /* EXAMPLE LIBRARY (.lib) */ cell(clkg) { area : ; cell_footprint : fp_12900_6300 ; dont_touch : true ; dont_use : true ; clock_gating_integrated_cell: "latch_posedge" ; statetable( " CLK EN ", " IQ ") {table : " L L : - : L,\ L H : - : H,\ H - : - : N " ;} pin(en) { direction : input ; clock_gate_enable_pin : true; capacitance : 0.002;

11 Working with Integrated Clock Gating Cell (ICG) 11 Identify ICG in library report_lib <lib> [list CGX1 CGX2 ] Cell Footprint Attributes NEW CGX1 "tsca" b, s, u, cg CGX2 "tsca" b, s, u, cg cg - clock gating integrated cell attribute ICG cell sizing Power Compiler supports sizing of ICG Requires different sizes of ICG in library

12 RTL to Synthesis Clock Gating benefits 12 EN CLK EN CLK Synchronous Load Implementation D_IN Latch D_IN G_CLK Register Bank Register Bank D_OUT D_OUT Benefits Reduces switching power on clock net Saves area (muxes not needed) Reduces internal power consumption in gated registers Automatic (no RTL code change) Technology Independent Seamless integration with Synthesis Power Compiler Clock Gating Implementation

13 13 Criteria for RTL Clock Gating Default Requirement for Clock Gating Enable should not be always on Meet setup condition on the clock gating cell Meet minimum register bit width (default is 3) All the above requirements could be overidden by using set_clock_gating_registers set_clock_gating_style -setup set_clock_gating_check <value> or set_clock_gating_style -min_width <number>

14 14 Enhanced Register Clock Gating NEW Reg Bank Reg Bank EN a b (width 2) Reg Bank (width 2) EN CLK Clock Gate GCLK b a (width 2) Reg Bank (width 2) CLK Reg Bank Reg Bank c (width 2) c (width 2) Width condition violation: No CG Common enable factoring

15 15 Implementing clock gating # Sample script set power_enhanced_cg_min_width 2; #default set_clock_gating_style -positive {integrated} -negative {integrated} -control_point before -control_signal scan_enable -min_width 3 read_verilog testcase.v create_clock -p 10 clk1 create_clock -p 10 clk2 Do not use elaborate -gate_clock insert_clock_gating #insert_clock_gating -regular_only #for no enhanced CG propagate_constraints -gate_clock uniquify compile report_clock_gating -gated -ungated -verbose -hier

16 Clock Gate Insertion Report (STD OUT) ================================================================= Gated Include Enable Setup Width Clock Group Flip-Flop Name Exclude Bits Cond. Cond. Cond. Gated ================================================================= GATED REGISTERS cg0 4 yes yes yes yes out1_reg[1] - 1 (*) out1_reg[0] - 1 (*) out2_reg[1] - 1 (*) out2_reg[0] - 1 (*) out3_reg[1] - 1 (*) out3_reg[0] - 1 (*) UNGATED REGISTERS ================================================================ (*): enhanced clock gated register 16 Clock Gating Reporting

17 17 Multi-Stage Clock Gating NEW a stage1 CG Reg Bank a stage1 CG Reg Bank EN CLK b stage1 CG Reg Bank EN CLK stage 2 CG b stage1 CG Reg Bank c stage1 CG Reg Bank c stage1 CG Reg Bank set_clock_gating_style num_stages 2

18 18 Clock Gating report Clock Gating Multi-Stage Report clock_gating -verbose -multi_stage Clock Gating Summary Number of Clock gating elements 4 Number of Gated registers 9 (100.00%) Number of Ungated registers 0 (0.00%) Total number of registers 9 Number of multi-stage clock gates 1 Average multi-stage fanout 3.0 Number of gated cells 9 Maximum number of stages 2 Average number of stages

19 19 Manual Clock Gating module top(sys_clk, en..).. assign ck = sys_clk && en sub_des u1(ck,in,en1,out1);.. endmodule en u1 ck en1 u3 module sub_des(ck,..);.. ck) if(cken) dout=din; endmodule sys_clk en ck u2 Top

20 20 Power Compiler Module Clock Gating NEW u1 en1 sys_clk en latch ck u3 en latch ck Top u2

21 21 Criteria For Replacement Identify clock Clock must be defined using create_clock command Identify clock edge for black box set_module_clock_edges -rising_edge_clock RAM_03/clk The attribute pwr_cg_clock_edge is set

22 22 Implementing Module Level Gating # Sample script set target_library $lib1 $lib2.. link set_clock_gating_style -sequential latch \ -positive {integrated} -negative {integrated} \ -control_point before -control_signal scan_enable read_verilog testcase.v create_clock -p 10 sys_clk1 create_clock -p 10 clk2 set_clock_gating_edge -rising_edge_clock <sub_module>/clk set_module_clock_gate -exclude <list_of cells_not to be replaced> insert_clock_gating -module_level uniquify compile report_clock_gating -gating -gated -ungated -verbose

23 23 Reporting Module Level Gating Clock Gate Replacement Report (STD OUT) insert_clock_gating -module_level Information: Performing clock-gating on design top Information: Bus naming style %s[%d] Clock Gate Replacement Report ======================================================== Clock Include Clock Edge Setup Gate Root Cell Name Exclude Fanin Type Func. Cond. Repl. =================================================== clk2 C7-1 fall or yes yes clk1 C6-1 rise and yes yes ========================================================

24 24 Report Clock Gating Module level Clock gating module replacement report clock_gating -gating_elements -verbose Design : top Version: V Date : Thu Jan 29 10:45: **************************************** Clock Gating Bank : clk_gate_c STYLE = latch, MIN = 3, MAX = 2048, HOLD = 0.00, SETUP = 0.20, OBS_DEPTH = 5 TEST INFORMATION :OBS_POINT =NO,CTRL_SIGNAL= scan_enable,ctrl_point=before INPUTS : clk_gate_c6/clk = clk1 clk_gate_c6/en = en1 clk_gate_c6/te = n6 OUTPUTS : clk_gate_c6/enclk = gclk1 GATED MODULES : u1

25 25 Agenda Introduction RTL Power Optimization Power Management through Clock Gating Interoperability of Clock Gating Conclusion

26 26 Formal Verification of Clock Gating Equivalence Checking - Formality New compare points are created The RTL design does not have this match point Test CLK FF D_in EN Latch G_CLK Reg Bank D_out Compare points

27 27 Formal Verification of clock gating Recognizing clock gating Specify verification_clock_gate_hold_mode to none - Default low Holds clock low during inactive high Holds clock high during inactive any Both high and low styles within design NEW This variable when set, determines that the function is the same as that of design that has no clock gating

28 28 Formal Verification of clock gating(cont..) Recognizing clock gating with test port If clock gating circuit has a scan port Typically disable the inserted scan logic set_constant i:/work/top/test_se 0 -type port

29 29 Testability Support Clock-gated registers are only clocked when enable is true During test mode or scan mode we need to clock the registers irrespective of enable condition Ensure that internal node of clock-gating cell is observable during test mode

30 30 Test Coverage with test_mode test_mode 1 Control Point Levels of design hierarchy DATA In D Q DATA Out Di CLK D Flipflops Q Control logic EN D Q Latch G ENCLK Register bank = not tested = partially tested = fully tested

31 31 Complete Observability Other observability nodes EN3 EN2 CLK Observe Flop test_mode EN1 D Q data_out EN Latch CLK Unobservable point

32 32 Test Coverage with scan_enable scan_enable 0 during capture Control Point Levels of design hierarchy DATA In D Q DATA Out Di CLK D Q Flipflops Control logic EN D Q G Latch ENCLK Register bank = not tested = partially tested = fully tested

33 33 Enhancements in hookup_testports test_mode or scan_enable U0 Is set_dft_signal set? no Is set_test_hold set? no If not, Create port test_mode or scan_enable U0 Is set_scan_signal set? no If not, Create port set_dft_signal -hookup_pin set_scan_signal -hookup_pin The signal type attribute (test_scan_enable / test_mode) set by Power Compiler

34 34 Test_mode and latch-based CG Pre-scan DRC: clock pin is not controlled data_in test_mode EN (internal pin) CLK created falling clock 1/1/1 X/X/X 1/1/1 1/0/1 D Q G previous state X/1/1 1/0/1 SNPS-CLOCK-GATE-HIGH X/0/1 D Q Initial state Is unknown data_out test_setup_additional_clock_pulse = true ( ) The state of the latch is known; The clock pin is controllable

35 35 Scan_enable and latch-based CG Pre-scan DRC: clock pin is not controlled clock CLK not able to capture data_in D Q data_out 0 during capture scan_enable EN (internal pin) CLK created falling clock X/X/X X/X/X 1/0/1 D G Q X/X/X 1/0/1 X/0/X SNPS-CLOCK-GATE-HIGH The user has to change the clock polarity

36 36 Latch-based CG Configurations Clock Gating CLK Control Signal Control Point Scan-inserted Location Register HIGH Latch-based LOW Latch-based test_mode scan_enable test_mode scan_enable test_mode scan_enable test_mode scan_enable Before YES After YES Before YES After YES Before YES** After YES Before NO After NO Before YES After YES Before YES After YES Before YES** After YES Before NO After NO ** fixed for (test_setup_additional_clock_pulse)

37 37 Power Compiler in DC-XG mode NEW All the existing Power Compiler features and commands are ported to DC-XG mode Results indicate capacity improvement of 45% average Run time improvements also available on limited commands in XG mode read_saif report_power

38 38 Clock-Gating Support Logic synthesis Combinatorial setup and hold constraint generation and checks Propagate constraints Formal verification RTL to gate-level equivalence checking Back-end support Clock skew minimization and balancing Testability Controllability & observability test logic XG

39 39 Clock Gating Savings Device Power Savings Area Savings IP Core 65% 14% Line Codec chip 35% 7% Soft IP block 40% 7% Soft IP block 55% 20% Graphics 20% 12% Graphics core 63% 17% Power Savings : 20 to 70% Area Savings : 5 to 20%

40 Leakage Optimization using Multi-V th Libraries Rishi Chawla Power CAE Team

41 Technology Scaling Effect on Leakage Power 41 Device scaling down Smaller geometry Lower VDD Lower threshold voltage Dynamic Leakage 250 Higher power Power (w) High power density High leakage power Leakage power management is required Device Dimension (nm) * Data taken from Intel, UMC

42 42 Voltage Threshold affects Power and Delay 100% 80% 60% 40% 20% 0% Leakage Delay Low-V th Std-V th High-V th Multi-V th process reduces Leakage power by an order of magnitude CMOS is the mainstream of ASIC design in the near future Threshold voltage affect sub-threshold leakage exponentially Higher V th cells have low leakage power but are slow Lower V th cells have high leakage power but are fast Multi-V th libraries enable low leakage design

43 43 Save Power on Non-Critical paths Timing paths Timing paths Before Power Optimization A B C D E Delay After Power Optimization A B C D E Delay Path with Low-V th cells; Critical path Non-Critical paths Timing constraint Leakage reduced Path with High-V th cells Use Low-Vth cells on critical paths to improve timing Use High-Vth cells on non-critical paths to save power No impact on timing

44 44 Improvements in Release Performance improved by10x Leakage QoR improved upto 20% Options to trade-off Performance and QoR Pre-Route & Post-Route Power Optimization Flow

45 Trade-off between QoR and Performance Regular option 45 Leakage power Library cell <= 0.0 Non-critical path Timing path Checks for low power cell candidates in all libraries Provides a good balance between QoR and runtime Multi-V th Libraries Leakage

46 Trade-off between QoR and Performance Cell Swap, naming based option 46 CL AL AS BL Non-critical path CH AH AH BS Shortest optimization runtime Library cell Multi-V th libraries 0.0 AL AS AH BL BS BH CL CS CH Low V th Std V th High V th 0.0 Timing path Speed Leakage Cell swap possible only among cells with same naming style

47 47 Leakage Optimization in Design Compiler RTL compile Minimize leakage with an early optimization Leakage settings compile -incr Multi-V th libraries Gates Preferable to provide Multi-V th library Simple usage flow set target_library HV th.db SV th.db LV th.db compile <power optimization setting> compile -inc Shown on slide 49

48 48 Leakage Optimization in Physical Compiler Floorplan Gates Leakage settings physopt physopt -incr Multi V th libraries Placed Gates set target_library HV th.db SV th.db LV th.db <power optimization setting> physopt Shown on slide 49

49 Options for Leakage Power Optimization Command settings 49 # Regular: set physopt_enable_power_optimization true set power_opto_simple_leakage_mode true set_max_leakage_power 0 mw OR # Cell Swap, naming based: set physopt_enable_power_optimization true set power_use_multi_vt_swap_opto true set power_multi_vt_naming_styles { } set_max_leakage_power 0 mw OR # High Effort: set physopt_enable_power_optimization true read_saif input my.saif inst tb/top set_max_leakage_power 0 mw Provides best balance of QoR & Runtime Recommended set of options Provides better Runtime Provides better QoR

50 Cell Swap Naming Style Command settings 50 Lib1 V th -1 andhd2 andsd2 andld2 xorhd4 xorsd4 xorld4 Lib2 V th -2 muxhd3 muxsd3 muxld3 Naming styles of the above libraries are: Lib3 V th -3 {$1h$2 $1s$2 $1l$2} Use $1 $2 etc. To represent common parts of names among libraries Specify the distinct parts of the names among libraries which are h, s, l in this example The order of the styles is not important, since PwrC automatically sorts cell leakage power

51 Case Study Results of Different Options 51 Before Power Optimization: Leakage = 16.0 mw After Power Optimization High Effort Regular Cell Swap Leakage(mw) Runtime (min) WNS Leaf cells count: Technology: 150K 90 nm Lib Cell: Characterized for State Dependent leakage

52 Quality of Results and Runtime Results of benchmarking 52 60% 40% 20% 0% High Effort Leakage Power Regular Runtime Cell Swap Regular and High Effort options reach for more power savings Regular option is the recommended first choice 800% 600% 400% 200% 0% High Effort Regular Cell Swap Reference: area optimization only.

53 53 Post-Route Leakage Optimization Requirements Customers seeking leakage reduction at late design stage Save leakage power with minimal or no impact on placement and routing Solution Supported in Synopsys Galaxy platform One pass flow in Physical Compiler

54 Post-Route Leakage Optimization Flow 54 Design (Post R) PwrC Settings physopt post_route Astro eco (change by file) SDF set_load Multi-V th Libraries Power optimization option Cell Swap, naming based Short runtime Good result Low Power Design (Post R) Timing Sign off extraction PrimeTime

55 Post-Route Leakage Optimization Settings Command Options 55 set_target_library hvt.db svt.db lvt.db set physopt_enable_power_optimization true set power_use_multi_vt_swap_opto true set power_multi_vt_naming_styles { } set max_leakage_power 0 mw physopt -incr \ -only_power_recovery \ -no_design_rule \ -preserve_footprint \ -post_route Multi-V th cell swap used for power optimization -only_power_recovery no timing optimization -preserve_footprint avoids placement and routing -post_route informs PC to use annotated data for delay analysis

56 Case Study Post-Route v/s Pre-Route 56 Before Power Optimization: Leakage = 19.3 mw WNS = 1.6 ns After Power Optimization Post-Route Pre-Route Cell Swap Cell Swap Regular Leakage (mw) Runtime (mins) 7+Extr High-V th % WNS Leaf cells: 216K; Technology:130 nm Post-Route results does not include Extraction runtime

57 57 Conclusion Synopsys recommends to do leakage optimization before routing, using Regular flow Easy to use Short runtime Best result Synopsys tools can optimize leakage power for routed designs too Significantly faster than scripting Good QoR

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

RTL Synthesis using Design Compiler. Dr Basel Halak

RTL Synthesis using Design Compiler. Dr Basel Halak RTL Synthesis using Design Compiler Dr Basel Halak Learning Outcomes: After completing this unit, you should be able to: 1. Set up the DC RTL Synthesis Software and run synthesis tasks 2. Synthesize a

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD Brief Introduction of Cell-based Design Ching-Da Chan CIC/DSD 1 Design Abstraction Levels SYSTEM MODULE + GATE CIRCUIT S n+ G DEVICE n+ D 2 Full Custom V.S Cell based Design Full custom design Better patent

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation Post-Synthesis Simulation VITAL Models, SDF Files, Timing Simulation Post-synthesis simulation Purpose: Verify correctness of synthesized circuit Verify synthesis tool delay/timing estimates Synthesis

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping Logic Synthesis Logic Synthesis = Translation+ Optimization+ Mapping Logic Synthesis 2 Gate-Level Optimization Logic Synthesis Flow 3 4 Design Compiler Procedure Logic Synthesis Input/Output 5 6 Design

More information

EECS 151/251A ASIC Lab 6: Power and Timing Verification

EECS 151/251A ASIC Lab 6: Power and Timing Verification EECS 151/251A ASIC Lab 6: Power and Timing Verification Written by Nathan Narevsky (2014,2017) and Brian Zimmer (2014) Modified by John Wright (2015,2016), Ali Moin (2017) and Taehwan Kim (2018) Overview

More information

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date: Design of Datapath Controllers and Sequential Logic Lecturer: Date: 2009.03.18 ACCESS IC LAB Sequential Circuit Model & Timing Parameters ACCESS IC LAB Combinational Logic Review Combinational logic circuits

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis ECE 4514 Digital Design II A Tools/Methods Lecture Second half of Digital Design II 9 10-Mar-08 L13 (T) Logic Synthesis PJ2 13-Mar-08 L14 (D) FPGA Technology 10 18-Mar-08 No Class (Instructor on Conference)

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) This tutorial walks you through the Cadence to Synopsys Interface (CSI). This interface lets you take a schematic from composer

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Policy-Based RTL Design. Bhanu Kapoor and Bernard Murphy Atrenta Inc. EDA Challenges

Policy-Based RTL Design. Bhanu Kapoor and Bernard Murphy Atrenta Inc. EDA Challenges Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy Atrenta Inc. Atrenta Inc Page 1 EDA Challenges Technology allows for 100M transistors on a chip Good but point EDA solutions exit Time consuming

More information

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler Ver. 1.0 November 2010 www.ntlab.com CONTENT 1. DESCRIPTION OF THE COMPILER... 3 1.1 GENERAL CHARACTERISTICS

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

CS250 DISCUSSION #2. Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller

CS250 DISCUSSION #2. Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller CS250 DISCUSSION #2 Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller LAST TIME... Overview of course structure Class tools/unix basics THIS TIME... Synthesis report overview for Lab 2 Lab

More information

Strategy to Achieve High Test Coverage for SOC

Strategy to Achieve High Test Coverage for SOC Nor Azura Zakaria MIMOS BERHAD, Malaysia norazura@mimos.my ABSTRACT Yield issues are very important and costly in semiconductor manufacturing process as it depends on the maturity of the process technology

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

ACCELERATING DO-254 VERIFICATION

ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION ACCELERATING DO-254 VERIFICATION INTRODUCTION Automated electronic control systems or avionics allow lighter, more efficient aircraft to operate more effectively in the

More information

Chapter 5 Registers & Counters

Chapter 5 Registers & Counters University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 5 Registers & Counters Originals by: Charles R. Kime Modified for course

More information

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow)

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Objectives: In this tutorial you will learrn to use Synopsys Design Compiler (DC) to perform hardware synthesis

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

AccuCore. Product Overview of Block Characterization, Modeling and STA

AccuCore. Product Overview of Block Characterization, Modeling and STA AccuCore Product Overview of Block Characterization, Modeling and STA What is AccuCore? AccuCore performs timing characterization of multi-million device circuits with SmartSpice accuracy and performs

More information

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview Synopsys Synthesis Overview Ben 2006.02.16 ACCESS IC LAB Outline Introduction Setting Design Environment Setting Design Constraints Synthesis Report and Analysis pp. 2 What is Synthesis Synthesis = translation

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Latch Based Design (1A) Young Won Lim 2/18/15

Latch Based Design (1A) Young Won Lim 2/18/15 Latch Based Design (1A) Copyright (c) 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

IDEA! Avnet SpeedWay Design Workshop

IDEA! Avnet SpeedWay Design Workshop The essence of FPGA technology IDEA! 2 ISE Tool Flow Overview Design Entry Synthesis Constraints Synthesis Simulation Implementation Constraints Floor-Planning Translate Map Place & Route Timing Analysis

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3 Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm Lecture 3 Lecture 3 Topics Covered: Chapter 4 Discuss Sequential logic Verilog Coding Introduce Sequential coding Further review of Combinational Verilog

More information

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines Lecture 3 Behavioral Modeling Sequential Circuits Registers Counters Finite State Machines Behavioral Modeling Behavioral Modeling Behavioral descriptions use the keyword always, followed by optional event

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 091210a) September 12, 2010 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

Constraint Verification

Constraint Verification Constraint Verification Constraint verification refers to the verification of the contents of an SDC file to flag situations where the specified constraints are either incorrect, or incomplete, both of

More information

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx CSE140L: Components and Design Techniques for Digital Systems Lab Verilog HDL Tajana Simunic Rosing Source: Eric Crabill, Xilinx 1 More complex behavioral model module life (n0, n1, n2, n3, n4, n5, n6,

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

ECE 5745 ASIC Tutorial

ECE 5745 ASIC Tutorial README.md - Grip ECE 5745 ASIC Tutorial This tutorial will explain how to use a set of Synopsys tools to push an RTL design through synthesis, place-and-route, and power analysis. This tutorial assumes

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC GSI Helmholtzzentrum für Schwerionenforschung GmbH Experiment Electronics Department December 5, 2016 Outline 1 Motivation 2 3 Motivation Currently

More information

Verilog introduction. Embedded and Ambient Systems Lab

Verilog introduction. Embedded and Ambient Systems Lab Verilog introduction Embedded and Ambient Systems Lab Purpose of HDL languages Modeling hardware behavior Large part of these languages can only be used for simulation, not for hardware generation (synthesis)

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language COMS W4995-02 Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science The Verilog Language Originally a modeling language for a very efficient event-driven

More information

ASIC Products Application Note

ASIC Products Application Note Abstract This application note provides an overview of the application-specific integrated circuit (ASIC design process. Four major phases are discussed: design entry and analysis; technology optimization

More information

Building your First Image Processing ASIC

Building your First Image Processing ASIC Building your First Image Processing ASIC CS250 Laboratory 2 (Version 092312) Written by Rimas Avizienis (2012) Overview The goal of this assignment is to give you some experience implementing an image

More information

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Lecture Outline. Adam Teman, 2018

Lecture Outline. Adam Teman, 2018 Lecture Outline Adam Teman, 208 Digital VLSI Design Lecture 4: Logic Synthesis Part 2 Semester A, 208-9 Lecturer: Dr. Adam Teman November 6, 208 Disclaimer: This course was prepared, in its entirety, by

More information

Lecture 15: System Modeling and Verilog

Lecture 15: System Modeling and Verilog Lecture 15: System Modeling and Verilog Slides courtesy of Deming Chen Intro. VLSI System Design Outline Outline Modeling Digital Systems Introduction to Verilog HDL Use of Verilog HDL in Synthesis Reading

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: October 12, 2007, 5pm 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor the register

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool White Paper Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool September 2009 Author Dr. Larry G. Jones, Implementation Group, Synopsys, Inc. Introduction With the continued evolution

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Sequential Logic Design

Sequential Logic Design Sequential Logic Design Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 092509a) September 25, 2009 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information

Logic Synthesis ( Prof. Dejan Marković VLSI Design Flow. Specifications & System Simulation (MATLAB, Simulink, C++)

Logic Synthesis ( Prof. Dejan Marković VLSI Design Flow. Specifications & System Simulation (MATLAB, Simulink, C++) Logic Synthesis EEM216A Fall 2012 Prof. Dejan Marković ee216a@gmail.com VLSI Design Flow Specifications & System Simulation (MATLAB, Simulink, C++) RTL Design (Verilog HDL) Logic Synthesis ( DC) Today

More information

Introduction to HW design flows

Introduction to HW design flows Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Introduction to HW design flows What you will get from this class This class will teach you how to use Hardware Description Languages (HDLs) to design,

More information

Introduction to Verilog HDL. Verilog 1

Introduction to Verilog HDL. Verilog 1 Introduction to HDL Hardware Description Language (HDL) High-Level Programming Language Special constructs to model microelectronic circuits Describe the operation of a circuit at various levels of abstraction

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

Homework deadline extended to next friday

Homework deadline extended to next friday Norm Midterm Grading Finished Stats on course homepage Pickup after this lab lec. Regrade requests within 1wk of posted solution Homework deadline extended to next friday Description Design Conception

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 10 -- Cache I 2014-2-20 John Lazzaro (not a prof - John is always OK) TA: Eric Love www-inst.eecs.berkeley.edu/~cs152/ Play: CS 152 L10: Cache I UC

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

Frequency and Voltage Scaling Design. Ruixing Yang

Frequency and Voltage Scaling Design. Ruixing Yang Frequency and Voltage Scaling Design Ruixing Yang 04.12.2008 Outline Dynamic Power and Energy Voltage Scaling Approaches Dynamic Voltage and Frequency Scaling (DVFS) CPU subsystem issues Adaptive Voltages

More information

Getting a Quick Start 2

Getting a Quick Start 2 2 Getting a Quick Start 2 This chapter walks you through the basic synthesis design flow (shown in Figure 2-1). You use the same basic flow for both design exploration and design implementation. The following

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

CSEE 3827: Fundamentals of Computer Systems. Storage

CSEE 3827: Fundamentals of Computer Systems. Storage CSEE 387: Fundamentals of Computer Systems Storage The big picture General purpose processor (e.g., Power PC, Pentium, MIPS) Internet router (intrusion detection, pacet routing, etc.) WIreless transceiver

More information

A Comparison of Hierarchical Compile Strategies

A Comparison of Hierarchical Compile Strategies A Comparison of Hierarchical Compile Strategies Steve Golson Trilobyte Systems 33 Sunset Road Carlisle MA 01741 Phone: +1.978.369.9669 Fax: +1.978.371.9964 Email: sgolson@trilobyte.com http://www.trilobyte.com

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

Introduction to ASICs. ni logic Pvt. Ltd., Pune

Introduction to ASICs. ni logic Pvt. Ltd., Pune Introduction to ASICs ni logic Pvt. Ltd., Pune The Wonderful World of Silicon About every two years, the number of transistors on a CMOS silicon chip doubles and the clock speed doubles..this rate of improvement

More information

Preparing for Optimization 7

Preparing for Optimization 7 7 Preparing for Optimization 7 This chapter contains the following sections: Defining the Design Environment Selecting a Compile Strategy Setting Design Rule Constraints Setting Optimization Constraints

More information

ECE 2300 Digital Logic & Computer Organization. Single Cycle Microprocessor

ECE 2300 Digital Logic & Computer Organization. Single Cycle Microprocessor ECE 23 Digital Logic & Computer Organization Spring 28 Single Cycle Microprocessor Lecture 5: Announcements HW 6 released (with a practice question) Lab 5 prelab (A) due tomorrow Lecture 5: 2 SRAM vs.

More information

EECS 151/251A ASIC Lab 3: Logic Synthesis

EECS 151/251A ASIC Lab 3: Logic Synthesis EECS 151/251A ASIC Lab 3: Logic Synthesis Written by Nathan Narevsky (2014, 2017) and Brian Zimmer (2014) Modified by John Wright (2015,2016) and Taehwan Kim (2018) Overview For this lab, you will learn

More information