Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017

Size: px
Start display at page:

Download "Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017"

Transcription

1 Vinayak Pandey, Vice President Product & Technology Marke8ng May, 2017

2 Overview of JCET Group Founded in 1972 and listed on Shanghai Stock Exchange in 2003 Largest OSAT in China and 3 rd largest OSAT in the world Significant manufacturing scale with factories strategically located in China, Singapore and Korea Comprehensive product pornolio from discrete, wirebond and flip chip packages to advanced wafer level and System-in-Package (SiP) soluions Experienced R&D team driving innovaions in advanced technologies with the largest Intellectual Property (IP) pornolio in the OSAT industry 2

3 JCET Group Event Timeline Restructured to Jiangyin transistor factory established 1994 JV with Phillips IPO on Shanghai Stock Exchange Established C3 & C5 Jiangyin established C6 SiP C8 Suqian established MIS C9 Chuzhou established JV with SMIC B2 Jiangyin established JCET-SC acquires STATS ChipPAC New factory in S. Korea Started as division of Hyundai ST Assembly Test Services established STATS IPO On SGX & Nasdaq Independent from Hyundai STATS merges with ChipPAC R&D Center in Singapore SCC expansion in China SCS expansion in Singapore SCK expansion in S. Korea SCC moves to JSCC in Jiangyin JCET Group is a Ier 1 OSAT service provider with comprehensive packaging assembly and test products and services 3

4 Product and Service Offerings Advanced Packages Full Turnkey Solu/ons Flip Chip Design & Simula/on Wafer Level (Fan-in, Fan-out, IPD, TSV) System-inPackage EEPROM RF BB Assembly Wirebond Packages Discrete Leaded Laminate Test 4 STATS ChipPAC Confiden/al

5 Global Footprint in Strategic Semiconductor Hubs Morges, Switzerland Suqian, China Incheon, S. Korea Fremont, CA Chuzhou, China JCET Group Corporate Headquarters Jiangyin, China San Diego, CA Tempe, AZ Singapore Manufacturing / Test FaciliIes R&D Centers Sales Presence 5 Zoom in on China factory loca8ons

6 Strongest IP Por`olio in OSAT Industry Patents Issued by the US Patent & Trademark Office As of 1Q 2017 Patents Issued by the State Intellectual Property Office of China As of 1Q 2017 Source : Based on Patent InformaIon Published in USPTO Website and State Intellectual Property Office of China Leading the OSAT industry with highest number of issued patents Over 68% of US patents are related to advanced wafer level and flip chip technology 6

7 Drivers for FOWLP / ewlb Elimina/on of chip-to-package interconnect delivers 4 key advantages Reduc/on in package size & thickness X/Y & Z Enhanced Reliability Fewer interfaces Integra/on Capability Heterogeneous die, passives, etc. Performance Improvement Bemer RLC and thermal Innova/ve Integra/on Pla`orm 3D ewlb 7

8 Fan-out Wafer Level Technology (ewlb) Fan-out wafer level packaging (FOWLP or ewlb) provides significant performance, size and cost benefits compared to other packaging technology available today Higher performance & higher bandwidths in a smaller package Super thin packaging soluions in single and muli-die configuraions Ultra fine ball pitch (down to 0.3mm) and a dramaically higher I/O count Interconnect independent of die size Strong thermal and electrical performance for a reliable, power-efficient soluion Versa/le integra/on pla`orm for 2.5D & 3D solu/ons Flexibility to integrate die from diverse semiconductor processes and different silicon wafer nodes More cost effecive approach to silicon pariioning approach than TSVs Line-width/line-space (LW/LS) raios of less than 5um/5um Ability to embed muliple acive and passive components in a wafer level Package-on-Package (PoP) or System-in-Package (SiP) soluion Cost effec/ve HVM process Ability to scale devices to larger manufacturing panel sizes for a lower cost per unit KGD reconsituted into a high density manufacturing format significantly increases yields and reduces cost Seamless transiion between Fan-in and Fan-out wafer level manufacturing 8

9 System-in-Package Technology Key technology building blocks for greater system performance, increased func/onal integra/on and lower power consump/on in a smaller form factor and lower cost Miniaturiza/on 70% size reduc/on Performance & Reliability EEPROM RF BB Cost Effec/ve HVM Process Heterogeneous integraion of muliple ICs in a modularized sub-system Advanced design rules achieve 20~30% Ighter keep-out-zone (KOZ) Thin film wafer level technology eliminates substrates & reduces package size Si pariioning of die and reintegraion for maximum space efficiency Increased design flexibility and integraion opions including die-to-die, die-topassives, and passives-to-passives placement Integrated Passive Devices (IPD) reduce the number of discrete passives Fine lines/spaces (L/S) rouing for high-speed I/O interconnecion Flip chip + wirebond hybrid stacking for increased system level integraion IntegraIon of acives & passives in the same system improves power delivery Advanced SMT process with high accuracy component placement Advanced mold tech for complex topography SiP applicaions Bemer electromagneic interference (EMI) isolaion and cross-talk control High efficiency conformal EMI shielding method with high yield One stop turnkey soluion wafer to fully tested SiP modules Lower total product cost due to avoidance of costly SoC integraion Delivers plug-and-play soluions faster than SoC designs High UPH SMT line & fully automated loading/unloading/avi EMI process Fast response Imes and highest quality reports, ES builds, and TAT Financial commitment to SiP business proliferaion 9

10 Innova&ve Integra&on Solu&ons Laminate ewlb Both Laminate on FOWLP Package on Package SiP on SiP FOWLP on Laminate 10

11 Thank You

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager

Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager Shaping Solutions in Advanced Semiconductor Assembly and Test Pranab Sarma, Product Engineering Manager STATS ChipPAC Overview 2 What we do total turnkey solutions Wafer design Outsourced Semiconductor

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics Seung Wook Yoon, *Boris Petrov, **Kai Liu STATS ChipPAC Ltd. 10 #04-08/09 Techpoint Singapore 569059 *STATS

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages Won Kyoung Choi*, Duk Ju Na*, Kyaw Oo Aung*, Andy Yong*, Jaesik Lee**, Urmi Ray**, Riko Radojcic**, Bernard Adams***

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Annual Report Innovate Create Deliver

Annual Report Innovate Create Deliver Annual Report 2014 Innovate Create Deliver Corporate Profile STATS ChipPAC Ltd. ( STATS ChipPAC or the Company - SGX-ST: STATSChP) is a global leader in Outsourced Semiconductor Assembly and Test (OSAT)

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Advanced Flip Chip Package on Package Technology for Mobile Applications

Advanced Flip Chip Package on Package Technology for Mobile Applications Advanced Flip Chip Package on Package Technology for Mobile Applications by Ming-Che Hsieh Product and Technology Marketing STATS ChipPAC Pte. Ltd. Singapore Originally published in the 17 th International

More information

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package by Fred Lee*, Jianjun Li*, Bindu Gurram* Nokibul Islam, Phong Vu, KeonTaek Kang**, HangChul Choi** STATS ChipPAC, Inc. *Broadcom

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

E. Jan Vardaman President & Founder TechSearch International, Inc.

E. Jan Vardaman President & Founder TechSearch International, Inc. J Wednesday 3/12/14 11:30am Kiva Ballroom TRENDS IN WAFER LEVEL PACKAGING: THIN IS IN! by E. Jan Vardaman President & Founder TechSearch International, Inc. an Vardaman, President and Founder of TechSearch

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Hybrid Wafer Testing Probe Card

Hybrid Wafer Testing Probe Card Chris Sellathamby Scanimetrics Inc. Hybrid Wafer Testing Probe Card June 5, 2007 San Diego, CA USA Overview Existing Issues Contact Damage Challenge Wireless (Non-contact) for Data Contact Probes for Power

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

A Highly Integrated and Comprehensive SiP Solutions for IoT

A Highly Integrated and Comprehensive SiP Solutions for IoT A Highly Integrated and Comprehensive SiP Solutions for IoT Teck Lee Senior Technical Manager, ASE Group, Taiwan. Introduction IoT Segmentation Source: Yole, 2016/10 SAW Filter SAW Filter SiP Heterogeneous

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

New Era of Panel Based Technology for Packaging, and Potential of Glass. Shin Takahashi Technology Development General Division Electronics Company

New Era of Panel Based Technology for Packaging, and Potential of Glass. Shin Takahashi Technology Development General Division Electronics Company New Era of Panel Based Technology for Packaging, and Potential of Glass Shin Takahashi Technology Development General Division Electronics Company Connecting the World Connecting the World Smart Mobility

More information

Embedded Power Dies for System-in-Package (SiP)

Embedded Power Dies for System-in-Package (SiP) Embedded Power Dies for System-in-Package (SiP) D. Manessis, L. Boettcher, S. Karaszkiewicz, R.Patzelt, D. Schuetze, A. Podlasky, A. Ostmann Fraunhofer Institute for Reliability and Microintegration (IZM),

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics Rethinking the Hierarchy of Electronic Interconnections Joseph Fjelstad Verdant Electronics The Industry s Terminology Challenge» The electronics industry continues to explore and develop new methods to

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development Fine Pitch High Bandwidth Flip Chip Package-on-Package Development by Ming-Che Hsieh, STATS ChipPAC Pte. Ltd. Stanley Lin, MediaTek, Inc. Ian Hsu, MediaTek, Inc. Chi-Yuan Chen, MediaTek, Inc. NamJu Cho,

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

High Reliability Electronics for Harsh Environments

High Reliability Electronics for Harsh Environments High Reliability Electronics for Harsh Environments Core Capabilities API Technologies is a world leader in the supply of microelectronic products and services supporting mission critical applications,

More information

The Rejuvenation of the Semiconductor Industry Ride the New Wave

The Rejuvenation of the Semiconductor Industry Ride the New Wave The Rejuvenation of the Semiconductor Industry Ride the New Wave Rozalia Beica CTO Yole Developpement 1 1 Presentation Outline Yole Intro Market Drivers Advanced Packaging Global Trends Conclusions 2 2

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Flexible Hybrid Electronics Solutions for Wearable Sensor Systems. Richard Chaney American Semiconductor, Inc.

Flexible Hybrid Electronics Solutions for Wearable Sensor Systems. Richard Chaney American Semiconductor, Inc. Flexible Hybrid Electronics Solutions for Wearable Sensor Systems Richard Chaney American Semiconductor, Inc. What is a Flexible Hybrid System (FHS)? Printed Electronics Low Cost, R2R, Large Format Flexible

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

A Small Company with a Big Footprint! Company Overview

A Small Company with a Big Footprint! Company Overview A Small Company with a Big Footprint! Company Overview October 2016 1 Contents Company Overview - Our Business - Our History - Organization Global Presence Key Customers Strategic Partners Product Offerings

More information

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY W. Koh, PhD Huawei Technologies JEDEC Mobile & IOT Forum Copyright 2017 Huawei Technologies, Ltd. OUTLINE Mobile and IoT Device

More information

Solving Integration Challenges for Flexible Hybrid Electronics

Solving Integration Challenges for Flexible Hybrid Electronics Solving Integration Challenges for Flexible Hybrid Electronics Nano for Defense Conference November 17, 2015 Approved for Public Release What are Flexible Hybrid Electronics? Printed Electronics Low Cost,

More information

at surface mount speeds

at surface mount speeds www.uic.com email: universal@uic.com AMERICAS Tel. 1-800-432-2607 or Tel. +1-607-779-7522 CHINA, SHENZHEN Tel. +86-755-2685-9108 CHINA, SHANGHAI Tel. +86-21-6495-2100 EUROPE Tel. +421-2-4930-96-60 2017

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Fine Line Panel Level Fan-Out

Fine Line Panel Level Fan-Out Fine Line Panel Level Fan-Out David Fang CTO, Vice President of Powertech Technology Inc. P - 1 Outline 1. Brief Introduction of PTI 2. Moore s Law Challenges & Solutions Moore s Law Challenges Highly

More information

Introduction. SK hynix

Introduction. SK hynix It was very informative. I had a lot of questions answered. It was a good assembly of design and manufacturing elements. I learned a lot that I didn t know. It s good to hear that TSVs are ready for HBM.

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

High Performance Electronics Integration in Flexible Technology

High Performance Electronics Integration in Flexible Technology High Performance Electronics Integration in Flexible Technology February 10, 2011 www.americansemi.com 2011 American Semiconductor, Inc. All rights reserved. About American Semiconductor Corporate Headquarters

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT 2010 Invited Speaker ARCHIVE 2010 RISING TO THE 3D TSV TEST CHALLENGE: WILL YOU BE READY? by Françoise von Trapp Editorial Director 3D InCites 3D ABSTRACT integration is not a novel concept. Veterans in

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis Press Release ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis New submicron and nanoscale XRM systems and new microct system provide

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Flash Memory Overview

Flash Memory Overview GigaDevice Semiconductor, Inc. Flash Memory Overview NOR and NAND Flash Memory are the critical Non-Volatile Memory devices that store a digital device s configuration data. GigaDevice has a large Flash

More information

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION Semicon West 2018, Bernd Srocka Mission Unity-SC provides a wide range of Solutions in Metrology& inspection to the Semiconductor Industry,

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018 RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA April 3, 2018 Disclaimer The information contained in this presentation is provided for informational purpose only, and should not be relied

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

CMOSETR Session C1, July 7 (Macroelectronics)

CMOSETR Session C1, July 7 (Macroelectronics) Universal Flexible Hybrid System Development Kit including MCU, ADC and RFIC Prepared for: CMOSETR Session C1, July 7 (Macroelectronics) Doug Hackler President & CEO doughackler@americansemi.com 208 336-2773

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

3DIC & TSV interconnects business update

3DIC & TSV interconnects business update 3DIC & TSV interconnects business update ASET presentation. Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Fields of Expertise Yole Developpement

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality

NORTH CORPORATION. Development of IC Packaging Components Enabling Increasing Product Functionality NORTH CORPORATION Development of IC Packaging Components Enabling Increasing Product Functionality I. Bump Interconnection (NMBI) Business PWB technology shift toward increased circuit layer count and

More information

FHE Integration & Manufacturing for Killer Apps

FHE Integration & Manufacturing for Killer Apps FHE Integration & Manufacturing for Killer Apps Nov. 18, 2015 Doug Hackler Introduction Small Business Privately Held Founded Nov. 2001 Member: New Boise, Idaho Facility Headquarters and manufacturing

More information

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation.

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. Agenda >Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. >Embedded Device Technology #1. Embedded MLCC in FCCSP Substrate. #2. Embedded Active Device.

More information

Start Your HBM/2.5D Design Today

Start Your HBM/2.5D Design Today Kevin Tran SK hynix Inc. Paul Silvestri Amkor Technology, Inc. Bill Isaacson esilicon Corporation Brian Daellenbach Northwest Logic Chris Browy Avery Design Systems Executive Summary High-bandwidth memory

More information

United for Excellence

United for Excellence United for Excellence Dear Customers, With the rising performance and shrinking feature sizes of our leading-edge production technologies, UMC's customers today enjoy unprecedented opportunities to develop

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

3DIC & TSV interconnects

3DIC & TSV interconnects 3DIC & TSV interconnects 2012 Business update Semicon Taiwan 2012 baron@yole.fr Infineon VTI Xilinx Synopsys Micron CEA LETI 2012 Copyrights Yole Developpement SA. All rights reserved. Semiconductor chip

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products Tom Swirbel Motorola, Inc. 8000 W. Sunrise Blvd. Plantation, Florida Phone: 954-7-567 Fax: 954-7-5440

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

Application Development for Flexible Hybrid Printed Electronics

Application Development for Flexible Hybrid Printed Electronics Application Development for Flexible Hybrid Printed Electronics Lok Boon Keng, Yusoff Bin Ismail, Joseph Chen Sihan, Cheng Ge, Ronnie Teo Large Area Processing Programme Emerging Application Division Outline

More information

Wafer Probe card solutions

Wafer Probe card solutions Wafer Probe card solutions Innovative Solutions to Test Chips in the Semiconductor Industry Our long term experience in the electronic industry and our strong developing and process teams are inspired

More information

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group HUATIAN TECHNOLOGY(KUNSHAN) New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group Mr. Yichen Zhao Sumacro 2018 March 16 HUATIAN Information

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information