2002 Seth Copen Goldstein 1

Size: px
Start display at page:

Download "2002 Seth Copen Goldstein 1"

Transcription

1 58%/Yr compound Complexity growth rate 2%/Yr compound Productivity growth rate $ 5K/Staff Yr. (in 997 Dollars) Source: MATECH NanoComputing Architectures Seth Copen Goldstein Carnegie Mellon University CMU October 7, 22 Outline Manufacturing Paradigm Shift Dealing with the atomic scale Research Directions Defect/Fault Tolerance An Example architecture Abstractions Devices Circuits Architectures Summary NanoComputing, NSF /2 22 Seth Copen Goldstein NanoComputing, NSF /2 22 Seth Copen Goldstein 2.E+.E+.E+9.E+6.E+3.E+ What Comes Next? Ops/sec/$ doubles every. years Tubes/ CMOS Transistor Mechanical/ Relays nanometer doubles every doubles every 7.5 years 2.3 years.e-3 Combination of Hans Moravac + Larry Roberts + Gordon Bell WordSize*ops/s/sysprice.E From Gray Turing Award Lecture NanoComputing, NSF /2 22 Seth Copen Goldstein 3 Technology Shifts Size of Devices Inches to Microns to Nanometers Type of Interconnect Rods to Lithowires to Nanowires Method of Fabrication Hammers to Light to Self-Assembly Largest Sustainable System to 8 to 2 Reliability Bad to Excellent to Unknown NanoComputing, NSF /2 22 Seth Copen Goldstein 4 On the cusp of Major Technology Change Devices will be very small & numerous Scale is new: x smaller, x more Feature size (Nanometers) uprocessor x smaller Nano Tech Devices/design x more NanoComputing, NSF /2 22 Seth Copen Goldstein 5 Mask Cost / Wafer Level Exposure ($) Power Density (W/cm2) Independent of Shift? Affordable Total Cost / Wafer Level Exposure Wafer Exposures/Mask um.25 um.8 um.3 um SIA Roadmap Generation Manufacturing Cost 886 Hot Plate 88 P Pentium Source: Irwin & Borkar, De Intel NanoComputing, NSF /2 22 Seth Copen Goldstein 6 Logic Transistors/Chip (M)... Manufacturing Cost Design Cost Power Density Efficiency Year Feature Logic 3 Yr. Design Staff Size (nm) trans/chip (M) Staff Size Costs $9 M $2 M $6 M $36 M Design Cost SPECint95 Sun s Surface.9.8 y = -5E-5x Rocket.7 Nuclear Nozzle Verification Complexity.6 Reactor.5 Testing Cost Power Density SPEC ratio/mhz Clock Speed (MHz) Efficiency Productivity (K) Trans./Staff-Mo. 22 Seth Copen Goldstein

2 The Atomic Scale Very small devices/wires Increased variability Increased single-event upsets New behaviors/mechanisms No predetermined arbitrary patterns Increased defect densities Lots of devices/wires Scalable.* required CMOS Too Precision is expensive Current Abstractions have a major impact on cost Requires process engineers to deliver robust devices Requires perfect devices CMOS is becoming just another nanoscale component Process rules and mask costs are already eliminating arbitrary patterns NanoComputing, NSF /2 22 Seth Copen Goldstein 7 NanoComputing, NSF /2 22 Seth Copen Goldstein 8 Computing Crystals Computing Crystals A reasonable medium-term position No end-to-end connections Maybe multi-terminal devices No complex predetermined patterns Quasi-regular & non-deterministic Hierarchical assembly Assembly Computing Crystals Control, configuration decompression, & defect mapping seed A reasonable medium-term position No end-to-end connections Maybe multi-terminal devices No complex predetermined patterns Quasi-regular & non-deterministic Hierarchical assembly With defects Assembly Computing Crystals Control, configuration decompression, & defect mapping seed NanoComputing, NSF /2 22 Seth Copen Goldstein 9 NanoComputing, NSF /2 22 Seth Copen Goldstein How to Make Forward Progress Abstraction Layers New Abstractions Defect/fault tolerance Devices Circuits Architectures Close the loop between designers and device engineers Applications Algorithms Programming Languages Intermediate Representations ISA Microarchitecture Tools Fabrication Circuits Devices NanoComputing, NSF /2 22 Seth Copen Goldstein NanoComputing, NSF /2 22 Seth Copen Goldstein 2 22 Seth Copen Goldstein 2

3 Defect/Fault Tolerance Vast body of knowledge, but nanocomputing is different: Massive integration and diverse function High density of defects and faults Constrained fabrication Nanoscale engineering requires that we build systems that work from devices that don t this is fundamental due to atomic scale Fault and Defect tolerance is key to reducing cost and supporting novel devices Hierarchical Solution Must attack this at every level Device engineering Fabrication 2 Circuits 3 Testing methods 6 Architectures 8 Algorithms infinite? Use redundancy and computation to compensate for lack of robustness and precision NanoComputing, NSF /2 22 Seth Copen Goldstein 3 NanoComputing, NSF /2 22 Seth Copen Goldstein 4 Island-Style FPGA Interconnection network Universal gates and/or storage elements Dealing With Defects Need to discover the characteristics of the individual components, but Can t selectively stimulate or probe the components Download test machines Programmable Switches HOST Thin link NanoComputing, NSF /2 22 Seth Copen Goldstein 5 NanoComputing, NSF /2 22 Seth Copen Goldstein 6 Built-In Self-Test Defect Tolerant Architecture Configure testers vertically Configure testers horizontally Configure the device to test itself! Identify fault! Extra devices, wires, and post-processing to route around defective elements Multi-layered approach required Radical architectures to exploit Randomness Reconfigurability Plentiful resources New testing approaches CalTech NanoComputing, NSF /2 22 Seth Copen Goldstein 7 NanoComputing, NSF /2 22 Seth Copen Goldstein 8 22 Seth Copen Goldstein 3

4 Reconfigurable Computing Advantages of Reconfigurable General-Purpose int reverse(int x) { int k,r=; for (k=; k<64; k++) r = x&; x = x >> ; r = r << ; } } int func(int* a,int *b) { int j,sum=; for (j=; *a>; j++) sum+=reverse(*b Compiler Custom Hardware General-Purpose Custom Hardware Flexibility of a processor Performance of custom hardware Defect Tolerant Logic Blocks Routing Resources (Molecular give back area-delay lost in CMOS) NanoComputing, NSF /2 22 Seth Copen Goldstein 9 NanoComputing, NSF /2 22 Seth Copen Goldstein 2 Heart of an FPGA Heart of an FPGA a a data a a Universal gate = RAM a & a2 a a data a The cost of the a a & a2fpga: Increased Area-Delay Product RAM cell Universal gate = RAM Wires to program RAM cell data in control data in control Switch controlled by a -bit RAM cell Switch controlled by a -bit RAM cell NanoComputing, NSF /2 22 Seth Copen Goldstein 2 NanoComputing, NSF /2 22 Seth Copen Goldstein 22 Key Component: Reconfigurable Switch Abstraction Layers Still OK? Reconfigurable Molecular Switch Holds its own configuration state Can be programmed with the signal wires Applications Algorithms Programming Languages Intermediate Representations ISA Microarchitecture Circuits Fabrication Devices Probably Not Tools NanoComputing, NSF /2 22 Seth Copen Goldstein 23 NanoComputing, NSF /2 22 Seth Copen Goldstein Seth Copen Goldstein 4

5 Transistor Abstractions Transistor Abstractions input output input output Output Output Input Input Old model: One device: restores, isolates, All turn on at same point All use same power NanoComputing, NSF /2 22 Seth Copen Goldstein 25 Current model: Many different kinds of Ts: ram, logic different turn-ons, power usage, speed NanoComputing, NSF /2 22 Seth Copen Goldstein 26 Transistor Abstractions SIRM Example input output Output A B B A&B Nano-switch A B New Way Nano-restorer A&B Nano-isolator Input New model: SirM Some devices are switches Some devices are isolators Some devices are restorers A Old Way Breaks up transistor abstraction into its components Allows creation of library of composable components Increases freedom of design NanoComputing, NSF /2 22 Seth Copen Goldstein 27 NanoComputing, NSF /2 22 Seth Copen Goldstein 28 Fabrication New Layer Applications Algorithms Programming Languages Intermediate Representations ISA Microarchitecture nanocircuits Devices Progress can be made NOW! Tools NanoComputing, NSF /2 22 Seth Copen Goldstein 29 Circuit Abstractions Multivalued logic? Compute with and Analog? Logical operations, AND, OR, etc. Connect up devices as needed, Look-up tables? Point-to-pointMeshes? Switch-blocks Synchronize as necessary Combinational logic registersasynchronous? Forced to latch? Unlimited fan-out wires are perfect (maybe RC) What is a wire? NanoComputing, NSF /2 22 Seth Copen Goldstein 3 22 Seth Copen Goldstein 5

6 NanoBlock Abstract +Vdd C Φ Ground Configurable diode at each intersection Latches for Isolation and NanoComputing, NSF /2 22 Seth Copen Goldstein 3 Gain NanoComputing, NSF /2 22 Seth Copen Goldstein 32 C Φ Gnd Nearest Neighbor Routing Nearest Neighbor Routing NW NW NanoComputing, NSF /2 22 Seth Copen Goldstein 33 NanoComputing, NSF /2 22 Seth Copen Goldstein 34 Nearest Neighbor Routing 2-D Mesh Switch block NW NW NanoComputing, NSF /2 22 Seth Copen Goldstein 35 NanoComputing, NSF /2 22 Seth Copen Goldstein Seth Copen Goldstein 6

7 2-D Mesh 2-D Mesh NanoComputing, NSF /2 22 Seth Copen Goldstein 37 NanoComputing, NSF /2 22 Seth Copen Goldstein 38 The NanoFabric NanoFabric Attributes Nanoscale layer put deterministically on top of CMOS Highly regular Control, configuration decompression, & defect mapping seed ~ 8 long lines ~ 6 clusters Cluster has 28 blocks Hierarchical fabrication. Manufacture devices 2. Non-deterministically align wires 3. Self-assemble monolayers onto wires 4. Create meshes 5. Deterministically align w/cmos Reconfigurable Defect tolerant How do we use it? NanoComputing, NSF /2 22 Seth Copen Goldstein 39 NanoComputing, NSF /2 22 Seth Copen Goldstein 4 ISA has to go? Current ISA hides to much Good for forward compatibility human oriented assembly ad hock additions Bad for removing constraints exploiting compiler verification What can replace ISA? Breaking (And Adding) Abstractions Applications Algorithms Programming Languages Intermediate Representations nanocores ISA Microarchitecture Tools Circuits FPGA nanocircuits Fabrication Devices NanoComputing, NSF /2 22 Seth Copen Goldstein 4 NanoComputing, NSF /2 22 Seth Copen Goldstein Seth Copen Goldstein 7

8 Spanning -orders of Magnitude Program Compilers Phoenix Theory Architecture Billion Gates. Program Circuits From Compilers 2. Split-phase Abstract Machines 3. Configurations placed independently 4. Placement on chip int reverse(int x) { int k,r=; for (k=; k<64; k++) r = x&; x = x >> ; r = r << ; } } Certifying Circuits! Computations & local storage Unknown latency ops. NanoComputing, NSF /2 22 Seth Copen Goldstein 43 NanoComputing, NSF /2 22 Seth Copen Goldstein 44 Direct Mapping: App s Gates Likely to be difficult because tool must Build in error recovery Synthesize, place, and route 2 devices Virtualize communication resources Allow movement of computation away from failing components (redo p&r) Compiler doesn t see everything separately compiled applications Operating System interactions NanoComputing, NSF /2 22 Seth Copen Goldstein 45 New Abstraction Layer: Nano-Core Provides parameterized abstractions for datapaths Fetch memory PC i control PC j communication Compiler/OS target Nano-Core Can build any computing device on the fly Abstractions hide defects and faults at cost of additional area, power, delay This allows forward progress now Can pierce this layer over time NanoComputing, NSF /2 22 Seth Copen Goldstein 46 Conclusions Investment in nanodevices and materials is bearing fruit A new manufacturing approach is necessary to fabricate large-scale circuits (> 2 devices/cm 2 ) from nano-scale components. Derivatives of self-assembly have the best chance to succeed. All known approaches result in statistically imperfect macroscale circuit structures. Dealing with imperfections, defects, and outright faults is a new requirement Hardware and software approaches Redundancy and computation compensate for defects/faults Abstraction is the solution Enables simultaneous progress at all levels Dependent only on size and scale Conclusions - 2 Abstractions need to be: tool friendly not human friendly Focus moves from ISA IR Von Neumann Parallel fine-grained fabric Harness reconfigurable computing! NanoComputing, NSF /2 22 Seth Copen Goldstein 47 NanoComputing, NSF /2 22 Seth Copen Goldstein Seth Copen Goldstein 8

9 Conventional Processors No Longer Scale Performance by 5% each year Future potential of novel architecture is large ( vs 3) e+7 e+6 e+5 e+4 e+3 e+2 e+ 52%/year ps/gate 9% Gates/clock 9% Clocks/inst 8% Perf (ps/inst) 9%/year e Bill Dally e+7 e+6 e+5 e+4 Perf (ps/inst) Delay/CPUs e+3 e+2 3: e+ e+,: e- 3,: e-2 e-3 e Bill Dally 52%/year 74%/year 9%/year NanoComputing, NSF /2 22 Seth Copen Goldstein 49 NanoComputing, NSF /2 22 Seth Copen Goldstein 5 22 Seth Copen Goldstein 9

What Comes Next? Reconfigurable Nanoelectronics and Defect Tolerance. Technology Shifts. Size Matters. Ops/sec/$

What Comes Next? Reconfigurable Nanoelectronics and Defect Tolerance. Technology Shifts. Size Matters. Ops/sec/$ Reconfigurable Nanoelectronics and Defect Tolerance Seth Copen Goldstein Carnegie Mellon University seth@cs.cmu.edu HLDVT 11/13/03 HLDVT '03 (11/13/03) 2003 Seth Copen Goldstein 1 1.E+11 1.E+10 1.E+09

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras CAD for VLSI Debdeep Mukhopadhyay IIT Madras Tentative Syllabus Overall perspective of VLSI Design MOS switch and CMOS, MOS based logic design, the CMOS logic styles, Pass Transistors Introduction to Verilog

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction ECE484 VLSI Digital Circuits Fall 2017 Lecture 01: Introduction Adapted from slides provided by Mary Jane Irwin. [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.] CSE477 L01 Introduction.1

More information

CIT 668: System Architecture

CIT 668: System Architecture CIT 668: System Architecture Computer Systems Architecture I 1. System Components 2. Processor 3. Memory 4. Storage 5. Network 6. Operating System Topics Images courtesy of Majd F. Sakr or from Wikipedia

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Continuum Computer Architecture

Continuum Computer Architecture Plenary Presentation to the Workshop on Frontiers of Extreme Computing: Continuum Computer Architecture Thomas Sterling California Institute of Technology and Louisiana State University October 25, 2005

More information

Computer Architecture: Multi-Core Processors: Why? Onur Mutlu & Seth Copen Goldstein Carnegie Mellon University 9/11/13

Computer Architecture: Multi-Core Processors: Why? Onur Mutlu & Seth Copen Goldstein Carnegie Mellon University 9/11/13 Computer Architecture: Multi-Core Processors: Why? Onur Mutlu & Seth Copen Goldstein Carnegie Mellon University 9/11/13 Moore s Law Moore, Cramming more components onto integrated circuits, Electronics,

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

EITF20: Computer Architecture Part1.1.1: Introduction

EITF20: Computer Architecture Part1.1.1: Introduction EITF20: Computer Architecture Part1.1.1: Introduction Liang Liu liang.liu@eit.lth.se 1 Course Factor Computer Architecture (7.5HP) http://www.eit.lth.se/kurs/eitf20 EIT s Course Service Desk (studerandeexpedition)

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2002 Introduction to Digital Integrated Circuits Tu-Th 9:30-am 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Administration. Prerequisites. Website. CSE 392/CS 378: High-performance Computing: Principles and Practice

Administration. Prerequisites. Website. CSE 392/CS 378: High-performance Computing: Principles and Practice CSE 392/CS 378: High-performance Computing: Principles and Practice Administration Professors: Keshav Pingali 4.126 ACES Email: pingali@cs.utexas.edu Jim Browne Email: browne@cs.utexas.edu Robert van de

More information

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2004 Introduction to Digital Integrated Circuits Tu-Th am-2:30pm 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology

A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology By: James R. Heath, Philip J. Kuekes,, Gregory S. Snider, R. Stanley Williams SCIENCE, VOL. 280, 12 JUNE 1998 Reza M. Rad UMBC

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Elettronica T moduli I e II

Elettronica T moduli I e II Elettronica T moduli I e II Docenti: Massimo Lanzoni, Igor Loi Massimo.lanzoni@unibo.it igor.loi@unibo.it A.A. 2015/2016 Scheduling MOD 1 (Prof. Loi) Weeks 39,40,41,42, 43,44» MOS transistors» Digital

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Architecture as Interface

Architecture as Interface Architecture as Interface André DeHon Friday, June 21, 2002 Previously How do we build efficient, programmable machines How we mix Computational complexity W/ physical landscape

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

CSE 141: Computer Architecture. Professor: Michael Taylor. UCSD Department of Computer Science & Engineering

CSE 141: Computer Architecture. Professor: Michael Taylor. UCSD Department of Computer Science & Engineering CSE 141: Computer 0 Architecture Professor: Michael Taylor RF UCSD Department of Computer Science & Engineering Computer Architecture from 10,000 feet foo(int x) {.. } Class of application Physics Computer

More information

WaveScalar. Winter 2006 CSE WaveScalar 1

WaveScalar. Winter 2006 CSE WaveScalar 1 WaveScalar Dataflow machine good at exploiting ILP dataflow parallelism traditional coarser-grain parallelism cheap thread management memory ordering enforced through wave-ordered memory Winter 2006 CSE

More information

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2007 Introduction to Digital Integrated Circuits - Spring 2007 Introduction to Digital Integrated Circuits Tu-Th 5pm-6:30pm 150 GSPP 1 What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

CMPEN 411. Spring Lecture 01: Introduction

CMPEN 411. Spring Lecture 01: Introduction Kyusun Choi CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 01: Introduction Course Website: http://www.cse.psu.edu/~kyusun/class/cmpen411/09s/index.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

The Beauty and Joy of Computing

The Beauty and Joy of Computing The Beauty and Joy of Computing Lecture #8 : Concurrency UC Berkeley Teaching Assistant Yaniv Rabbit Assaf Friendship Paradox On average, your friends are more popular than you. The average Facebook user

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

CS758: Multicore Programming

CS758: Multicore Programming CS758: Multicore Programming Introduction Fall 2009 1 CS758 Credits Material for these slides has been contributed by Prof. Saman Amarasinghe, MIT Prof. Mark Hill, Wisconsin Prof. David Patterson, Berkeley

More information

Concurrency & Parallelism, 10 mi

Concurrency & Parallelism, 10 mi The Beauty and Joy of Computing Lecture #7 Concurrency Instructor : Sean Morris Quest (first exam) in 5 days!! In this room! Concurrency & Parallelism, 10 mi up Intra-computer Today s lecture Multiple

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ECE 4514 Digital Design II. Spring Lecture 22: Design Economics: FPGAs, ASICs, Full Custom

ECE 4514 Digital Design II. Spring Lecture 22: Design Economics: FPGAs, ASICs, Full Custom ECE 4514 Digital Design II Lecture 22: Design Economics: FPGAs, ASICs, Full Custom A Tools/Methods Lecture Overview Wows and Woes of scaling The case of the Microprocessor How efficiently does a microprocessor

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

Introduction to Multicore architecture. Tao Zhang Oct. 21, 2010

Introduction to Multicore architecture. Tao Zhang Oct. 21, 2010 Introduction to Multicore architecture Tao Zhang Oct. 21, 2010 Overview Part1: General multicore architecture Part2: GPU architecture Part1: General Multicore architecture Uniprocessor Performance (ECint)

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits EE141 Fall 2005 Tu & Th 11-12:30 203 McLaughlin What is This Class About? Introduction to Digital Integrated Circuits Introduction: Issues in digital design CMOS devices and

More information

Administration. Coursework. Prerequisites. CS 378: Programming for Performance. 4 or 5 programming projects

Administration. Coursework. Prerequisites. CS 378: Programming for Performance. 4 or 5 programming projects CS 378: Programming for Performance Administration Instructors: Keshav Pingali (Professor, CS department & ICES) 4.126 ACES Email: pingali@cs.utexas.edu TA: Hao Wu (Grad student, CS department) Email:

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

Computer Architecture s Changing Definition

Computer Architecture s Changing Definition Computer Architecture s Changing Definition 1950s Computer Architecture Computer Arithmetic 1960s Operating system support, especially memory management 1970s to mid 1980s Computer Architecture Instruction

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Chapter 1 Introduction. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 1 Introduction. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 1 Introduction Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Classes of Computing Applications Hierarchical Layers of Hardware and Software Contents

More information

On the Defect Tolerance of Nano-scale Two-Dimensional Crossbars

On the Defect Tolerance of Nano-scale Two-Dimensional Crossbars On the Defect Tolerance of Nano-scale Two-Dimensional Crossbars Jing Huang, Mehdi B. Tahoori and Fabrizio Lombardi Dept of Electrical and Computer Engineering Northeastern niversity Boston, MA 02115 {hjing,mtahoori,lombardi}@ece.neu.edu

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L0 Department of Electrical and Computer Engineering University of Alabama in Huntsville What is this course all about? Introduction to digital integrated circuits. CMOS

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

ECE 2162 Intro & Trends. Jun Yang Fall 2009

ECE 2162 Intro & Trends. Jun Yang Fall 2009 ECE 2162 Intro & Trends Jun Yang Fall 2009 Prerequisites CoE/ECE 0142: Computer Organization; or CoE/CS 1541: Introduction to Computer Architecture I will assume you have detailed knowledge of Pipelining

More information

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project - Fall 2002 Lecture 20 Synthesis Sequential Logic Announcements Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project» Teams

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

EITF20: Computer Architecture Part1.1.1: Introduction

EITF20: Computer Architecture Part1.1.1: Introduction EITF20: Computer Architecture Part1.1.1: Introduction Liang Liu liang.liu@eit.lth.se 1 Course Factor Computer Architecture (7.5HP) http://www.eit.lth.se/kurs/eitf20 EIT s Course Service Desk (studerandeexpedition)

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

15-740/ Computer Architecture Lecture 4: Pipelining. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 4: Pipelining. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 4: Pipelining Prof. Onur Mutlu Carnegie Mellon University Last Time Addressing modes Other ISA-level tradeoffs Programmer vs. microarchitect Virtual memory Unaligned

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Supercomputing and Mass Market Desktops

Supercomputing and Mass Market Desktops Supercomputing and Mass Market Desktops John Manferdelli Microsoft Corporation This presentation is for informational purposes only. Microsoft makes no warranties, express or implied, in this summary.

More information

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test

Kee Sup Kim Samsung Electronics. ramework for Massively Parallel esting at Wafer and Package Test Kee Sup Kim Samsung Electronics ramework for Massively Parallel esting at Wafer and Package Test Key Message Massively parallel testing Possible Positive Return DFT Can be exciting 3 Outline Introduction

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1. EE 330 Homework 1 Fall 2016 Due Friday Aug 26 Problem 1 Assume a simple circuit requires 1,000 MOS transistors on a die and that all transistors are minimum sized. If the transistors are fabricated in

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Understanding the Routing Requirements for FPGA Array Computing Platform. Hayden So EE228a Project Presentation Dec 2 nd, 2003

Understanding the Routing Requirements for FPGA Array Computing Platform. Hayden So EE228a Project Presentation Dec 2 nd, 2003 Understanding the Routing Requirements for FPGA Array Computing Platform Hayden So EE228a Project Presentation Dec 2 nd, 2003 What is FPGA Array Computing? Aka: Reconfigurable Computing Aka: Spatial computing,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput)

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) Design Metrics A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput) 1 Design Metrics A couple of especially important metrics:

More information

CIT 668: System Architecture. Computer Systems Architecture

CIT 668: System Architecture. Computer Systems Architecture CIT 668: System Architecture Computer Systems Architecture 1. System Components Topics 2. Bandwidth and Latency 3. Processor 4. Memory 5. Storage 6. Network 7. Operating System 8. Performance Implications

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings ESE532: System-on-a-Chip Architecture Day 24: April 17, 2017 Defect Tolerance Today Reliability Challenges Defect Tolerance Memories Interconnect FPGA FPGA Variation and Energy 1 2 Message At small feature

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored?

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? Inside the CPU how does the CPU work? what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? some short, boring programs to illustrate the

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

INEL-6080 VLSI Systems Design

INEL-6080 VLSI Systems Design INEL-6080 VLSI Systems Design ooooooo Prof. Manuel Jiménez Lecture 1 Introduction Computational Devices The idea of developing computing devices is certainly not new A few chronological examples show the

More information

Computer Architecture. R. Poss

Computer Architecture. R. Poss Computer Architecture R. Poss 1 ca01-10 september 2015 Course & organization 2 ca01-10 september 2015 Aims of this course The aims of this course are: to highlight current trends to introduce the notion

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Reconfigurable Computing. Introduction

Reconfigurable Computing. Introduction Reconfigurable Computing Tony Givargis and Nikil Dutt Introduction! Reconfigurable computing, a new paradigm for system design Post fabrication software personalization for hardware computation Traditionally

More information

Lecture 41: Introduction to Reconfigurable Computing

Lecture 41: Introduction to Reconfigurable Computing inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 41: Introduction to Reconfigurable Computing Michael Le, Sp07 Head TA April 30, 2007 Slides Courtesy of Hayden So, Sp06 CS61c Head TA Following

More information

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems Electronics Systems Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems The Technological Trend # of trans. 100M 75M 50M Moore s Law (#

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Dataflow: The Road Less Complex

Dataflow: The Road Less Complex Dataflow: The Road Less Complex Steven Swanson Ken Michelson Andrew Schwerin Mark Oskin University of Washington Sponsored by NSF and Intel Things to keep you up at night (~2016) Opportunities 8 billion

More information

Thomas Polzer Institut für Technische Informatik

Thomas Polzer Institut für Technische Informatik Thomas Polzer tpolzer@ecs.tuwien.ac.at Institut für Technische Informatik Computer Organization and Design The Hardware / Software Interface David A. Patterson and John L. Hennessy Course based on the

More information

Microarchitecture Overview. Performance

Microarchitecture Overview. Performance Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 18, 2005 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make

More information