Identification of Crosstalk Switch Failures in Domino CMOS Circuits*

Size: px
Start display at page:

Download "Identification of Crosstalk Switch Failures in Domino CMOS Circuits*"

Transcription

1 2000 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. Identification of Crosstalk Switch Failures in Domino CMOS Circuits* Rahul Kundu and R. D. (Shawn) Blanton Center for Electronic Design Automation ECE Department Carnegie Mellon University Pittsburgh, PA Abstract Capacitative coupling will become a dominant problem due to increased parasitic capacitance between adjacent wires and faster signal switching rates. The coupling problem is more acute for domino logic circuits since an irreversible gate output transition can result. We present a method to analyze domino circuits for susceptibility to crosstalk failures from a layout-extracted netlist. Specifically, sites in the circuit that may fail due to crosstalk are identijed. In addition, failure sites are partitioned into two categories (faults or design errors) based on their likelihood of occurrence in the context of manufacturing variations. The method has been implemented and applied to a dual-rail domino Wallace tree circuit with little loss in accuracy, resulting in a 37X speedup over a full analysis using Hspice. 1 Introduction Crosstalk is defined as coupled interference (inductive or capacitive) from one or more signal lines (referred to as aggressor lines) to a logically unrelated signal line (typically called the victim line). As IC feature sizes are scaled, the minimum distance d between adjacent wires will correspondingly decrease. However, the average wire lengths of global wires are increasing proportional to the square root of the chip area [ 11. Thus, the total wire capacitance C =$=v increases, since wire thickness t is typically kept constant to avoid electromigration and large wire resistance. Effort is made to reduce the dielectric constant e with every new generation of technology to minimize coupling. However, the rate of decrease of e is much slower than the decrease in feature size and the increase in wire-length 1 [2]. These trends lead to the increasing importance of capacitative coupling for every *This research effort is sponsored by the Semiconductor Research Corporation under contract ITC INTERNATIONAL TEST CONFERENCE new generation of CMOS technology. Several other factors related to technology scaling will also exacerbate the problem of capacitative coupling. Manufacturing variations: As feature sizes are decreased, manufacturing variations will become more prominent. Two wires that are safely separated in the nominal design may become closer in the actual fabricated IC resulting in excessive coupling. Decrease of substrate capacitance: As feature sizes are decreased, the amount of area overlap between a device or wire and the substrate also decreases, which in turn decreases the substrate capacitance. This decrease of substrate capacitance plays a significant role in determining the impact of coupling. Increase in the number of metal layers: Every new generation of technology is accompanied by an increase in the number of metal layers. The upperlevel metal layers have very low substrate capacitance because of their physical distance from the substrate. But coupling is larger for these signal lines since they are normally thicker (these wires typically carry global signals) than the lower layers in order to reduce resistance. There has been a great deal of recent work on analyzing and detecting crosstalk noise [3] [4] [51 [61 [7] [SI. However, all previous test-related work has focussed on characterizing the impact of crosstalk on static CMOS circuitry. But the nature of crosstalk failure for dynamic circuits such as domino [9] is quite different. In static circuits, crosstalk creates a transient glitch (i.e. a weak or runt pulse) that must be propagated through successive gates to a primary output or a latch during a precise time interval to cause faulty operation [SI. The ditch, /00 $ IEEE

2 OUT / Figure 1: A crosstalk switch failure in a domino circuit: A pulse on the precharge clock input PC charges the dynamic node D to the supply voltage. Victim line B is supposed to remain static, however a glitch on B due to coupling (with input A = 1) can discharge D and cause the gate output OUT to switch erroneously. in addition, must be propagated along a path that maximizes the likelihood of observance [4]. Thus, it is quite difficult to characterize exactly the detection of crosstalk failures in static circuits. On the other hand, the impact,of crosstalk on domino circuits is much more harmful. Here, the crosstalk glitch at the input of a domino gate causes an irreversible charge loss from the dynamic node D of the gate as shown in Figure 1. The resulting erroneous O+l transition at the gate output OUT propagates through successive gates without attenuation if those gates are properly sensitized. Moreover, the timing is not as strict for dynamic logic; the only constraint is that activation and propagation occur within the clock cycle constraint. To our knowledge, no previous work exists on testing domino circuits for crosstalk failure. However, there has been a significant amount of work for other fault models. For example, [ 101 considers transistor stuck-on and stuck-off failures, [ 111 and [ 121 analyze IDDQ failures, [ 131 considers the test of resistive-bridge defects, and path-delay test is described in [ 141 and [ 151. To our knowledge, the impact of coupling on domino logic functionality has been explicitly considered only in [ 161 and [ 171. Specifically, the work in [ 161 examines the effect of different circuit parameters on the severity of crosstalk, while the work in [17] has developed a metric for analyzing noise immunity to crosstalk. In this paper, we present a method for identifying crosstalk susceptible sites within a domino circuit. We use a conservative criterion to identify the conditions required for erroneous switching to occur in domino gates. These conditions are incorporated into a generalized ATPG tool to determine if the logic value assignments required to create the erroneous switch and to propagate it to an observable point are feasible, given the logic implemented by the circuit. If it is possible to justify the logic value assignments required to activate and propagate a crosstalk failure in the nominal circuit, we designate that as a crosstalk design error. On the other hand, if erroneous operation is only likely in the presence of fabrication variations and/or defects, then the susceptible site is deemed a crosstalk fault. Our method for crosstalk failure analysis has been developed for domino circuits that utilize keepers and footers but no internal pre-chargers. However, the approach can be extended to other varieties of domino logic. The remaining parts of this paper are organized as follows. Section 2 gives an overview of our approach to coupling switch failure identification. In Section 3, we model and analyze the conditions necessary for erroneous gate output switching due to coupling in domino circuits, while Section 4 describes our algorithm for identifying failures using the method of Section 3. Section 5 applies our method to a carry-save adder (Wallace tree) implemented in dual-rail domino, 0.25pm technology. Finally, in Section 6 we summarize our work and present directions for future work. 2 Crosstalk Failures Crosstalk failures in domino circuits can be of two types: Crosstalk Timing Failures: This kind of failure occurs when a victim line is supposed to have a 0+1 transition, but the transition is slowed due to coupling to adjacent lines. This slowed transition manifests as a delay failure; detection requires transition propagation along a path that includes the failure site, with proper timing margin. Our analysis, for now, excludes such failures. Crosstalk Switch Failures: This failure occurs when a victim line is supposed to remain static. But because of coupling to adjacent lines that switch, a crosstalk glitch is created on the victim line. This glitch discharges the dynamic node of the destination gate of the victim line and results in an erroneous 0+1 transition at the output. Detection of this failure requires sensitization of any path from the failure site to an observable point. Our analysis in this paper is focussed on crosstalk switch failures. Our approach to crosstalk switch failure identification is illustrated in Figure 2. First, our crosstalk analyzer examines an extracted netlist of the circuit and produces a list of lines that are potentially susceptible to a crosstalk switch failure. This list of lines is identified in a conservative fashion, that is, the crosstalk analyzer tool always overestimates the amount of crosstalk and therefore never excludes potential failure sites; however, it 503

3 ~~~ Extracted netlist - Crosstalk failure sites Hspice failure sites ATPG and Hspice parameters analyzer * simulator fault simulator --+ Final test set Figure 2: Crosstalk failure identification: The crosstalk analyzer examines an extracted netlist to generate a list of potential failure sites. Failure sites are then analyzed by performing local Hspice simulations of the victim gate to precisely determine the conditions for the failures. Finally, ATPG and fault simulation are performed to produce the final set of test vectors. can falsely include sites that are not vulnerable. But the major advantage is that the crosstalk analyzer effectively disregards a large fraction of lines that are not susceptible to crosstalk. For each potential victim line identified by the crosstalk analyzer, a limited but accurate Hspicebased analysis is performed to determine the minimum amount of coupling required to cause a crosstalk failure. All sets of aggressor lines that meet or exceed the minimum amount of coupling are then determined. Each aggressor line set is then encoded in the fault tuple format [ 181 and passed to a test generator to determine if the corresponding failure is actually testable. If the fault tuple is proven untestable (i.e. cannot be activated and observed), the corresponding failure is considered logically redundant and is dropped. Otherwise, it is reported as a failure and the vector generated by the ATPG tool is added to a final test set. This process can be repeated for different degrees of manufacturing variations and, based on the outcome, the failures can be categorized as either design errors or faults. 3 Modeling Crosstalk In this section, we describe an analytical metric for identifying the initial set of potential failure sites. We begin by stating our assumptions and then analyze the conditions required for the effect of a crosstalk glitch to manifest at the output of a domino gate. 3.1 Preliminaries In analyzing crosstalk in domino logic circuits, we recognize that a line in the circuit can be coupled to multiple adjacent lines (potential aggressor lines). The major crosstalk failure sites (victim lines) are the long global lines. A global victim line may be coupled to a large number of small adjacent lines along its length. The capacitance of the large line to each of the adjacent lines is small, but the combined capacitance of the adjacent lines may be a significant fraction of the total capacitance coupled to the global line. Also, the coupling capacitance of the victim line to each individual adjacent line is assumed to be negligible, such that the adjacent lines are not significantly slowed. Consider n adjacent lines a1, a2,..., a, coupled to one victim line w. Each adjacent line ai is coupled to the victim line with capacitance Ci so that Ci = Ctotal is the the total capacitance coupled to the victim line. Of these adjacent lines, several lines can potentially switch in one clock cycle. These are the aggressor signal lines. The remaining adjacent lines are the non-switching lines or static lines. Partitioning adjacent lines into static and aggressor categories depends on the logical function implemented by the circuit. To analyze the multiple aggressor, single victim case described above, we make three approximations. First, we assume that the aggressor lines switch instantly, that is, an aggressor transition is a perfect step. Second, we assume all aggressors transition simultaneously. These two approximations produce the worst case crosstalk noise [21]. Third, we assume that the potential across the channel of the victim transistor is initially equal to the full supply voltage. This assumption is later removed when an Hspice analysis of the victim gate is performed. (See Section 3.3.) Thus, our crosstalk analyzer is conservative in that it cannot omit potential crosstalk failure sites. Let Ca be the sum of the coupling capacitances of all the aggressor lines and C, be the sum of the coupling capacitances of all the static adjacent lines. Note, that Ca + C, = Ctotal. A circuit model that illustrates this situation is shown in Figure 3. Using Laplace transform techniques, the waveform at the victim line can be estimated to be where Rdrv is the drain resistance of the driving gate,' C, is the input capacitance of the victim gate and VDD is the supply voltage. Since Ctotal is constant for every victim line, the only variable parameter in the expression for the waveform is Ca. 'Drain resistance a,, changes during the duration of the crosstalk pulse depending on the voltage at the drain. 504

4 PC Victim Line v A r- %LJ 0. J I Figure 3: (a) Adjacent lines to a victim signal line are divided into aggressor and static lines. (b) Circuit model used for analyzing the coupling waveform at the dynamic gate input: Ca is the coupling capacitance of the aggressor lines, Cs is the coupling capacitance of the static lines, C, is the input capacitance of the victim gate and RdT, is the drain resistance of the driving gate. 3.2 Coupling Discharge Now consider the discharging of the dynamic node D in Figure 4. In order to predict the current through a MOS- FET, we use the alpha power law proposed in [19]. It predicts the current through the MOSFET as a function of the voltages at its source, drain and gate. The alpha power law equations closely approximate the actual characteristic curves of the 0.25pm technology we use here. The alpha power law equations are shown below: Vth =Vto-yvbs (2) Vdsat = K(Vgs - &hlm (3) ID = Idsat (4) = % B(V~S - ~ t h ) (forvds ~ L Vdsat) (5) ID = Idsat(2 -&)A vdrat v,,,, (for Vds < Vdsat) (6) where W,ff and L,ff are the effective channel width and length respectively, Vth is the threshold voltage, Vto is the threshold voltage at zero substrate voltage, Vg, is the gate-to-source voltage, Vbs is the body-to-source voltage, vd/ds is the drain-to-source voltage, V&at is the drainto-source voltage at saturation, In is the drain current, A... A I B I... 7 I PC n \ D... Figure 4: Discharging of the dynamic node D due to a crosstalk pulse at line A. and K, m, B, y and n are empirical constants derived using techniques described in [19]. After the precharge clock PC becomes high, the voltage at the dynamic node quickly rises to approximately where Cgdl, C&, and Ci,, are the gate-to-drain capacitances of the transistors tl and t4 and the input capacitance of the inverter, respectively. (See Figure 4.) Each gate-to-drain capacitance is a combination of the overlap capacitance and a portion of the gate-to-channel capacitance [20]. The following conditions are assumed to exist before the crosstalk glitch: the gate inputs of all the transistors in the evaluate chain except the victim line are at logic one (which is essential for a test vector) which implies that the node c in Figure 4 is discharged, and the dynamic node D is at VoTig. For a crosstalk failure to occur, the current (It- II,) has to discharge the inverter input so that its transition point is crossed, where the current It passing through transistor t2 and current II, passing through the keeper are derived from the alpha-power law expressions. The switching indut voltage Vrqrri+rh can be easilv calculated 505

5 from the geometry of the inverter and is given in [20] as vdd + vtp + vtn& Vswrtch = (8) 1+JE where pp and Pn are the p factors of the p- and n-type transistors of the inverter, vtp and Vt, are the threshold voltages of the p- and n-channel transistors, respectively. Thus, the total discharge required for a crosstalk switch to occur is described by the expression /(It - Ik)dt 2 (vorig - haitch) x CZnv (9) The integral in (9) can be easily evaluated using simple numerical techniques. Then, a binary search on Ca between the values 0 and Ctotal + C, can easily be performed to determine the minimum C, = Cmin that will satisfy the inequality of (9). Note, the crosstalk analyzer always predicts a lower value of Cmin than what is actually required for failure in the circuit, due to the following pessimistic assumptions. 0 All the aggressor lines switch instantly and simultaneously as explained in Section The voltage at the source of the victim transistor is zero and the drain is at Vorig, when the dynamic node begins discharging. In reality, the source is above the ground with the actual voltage depending on the number and sizes of series-connected transistors below the victim transistor. Also, the drain goes slightly below Vorig depending on the number of series-connected transistors above the victim transistor. The overestimation of Cmin is somewhat relaxed by performing a local analysis of each victim gate using Hspice as described in the next section. 3.3 Hspice Validation If, for a particular victim line, the total coupled capacitance Ctotal is less than the minimum (Cmin) required for failure, the victim line is dropped from further analysis. If, however, Ctotal 2 Cmin, Hspice is used to determine a more precise value of Cmin. Specifically, the destination gate of the victim line is simulated using Hspice. For the simulation, the victim line is connected through a variable capacitance Ccoup to an aggressor waveform that transitions with the maximum slope that can occur in the circuit. (See Figure 6.) Ccoup is varied from 0 to Ctotal to determine the minimum value of Ccoup for - - our Figure 6: Circuit model simulated during Hspice validation. which a failure is induced at node OUT of Figure 6. This minimum value obtained from Hspice analysis is recorded as the new Cmin for the victim line. Hspice analysis removes the some of the pessimism described in the previous section, because the effect of the seriesconnected transistors and the slope of the aggressor transitions are considered. Using Hspice at this stage is justified since only a few victim lines meet the criterion used by the crosstalk analyzer, So, if the crosstalk analyzer reports that a particular line is a potential candidate for crosstalk failure, Hspice is used to determine a more precise value of Cmin. 4 Failure Identification Once the required capacitance Cmin for a victim line is re-calculated using Hspice, all combinations of the adjacent lines whose coupling capacitances sum to at least Cmin is determined. If a logical 1 can be justified on every line in such a combination-justifying a logic 1 in a domino circuit at the gate level creates a O-+ 1 switch in the evaluate phase-a crosstalk pulse of the required strength can be created on the victim line and a crosstalk error at the output of the victim gate can be created.* The smallest subsets of adjacent lines that sum to Cmin are determined. If the smallest subsets cannot be simultaneously sensitized then no superset of those lines can be sensitized, thus they represent the minimal circuit requirements needed to cause a crosstalk failure. The algorithm used for finding the smallest subsets of lines is described in Figure 5. The function Smallest-subset takes as input a set of adjacent lines denoted as combination. It determines if any of the immediate subsets of combination sum to 'Some aggressors are internal lines of a domino gate and thus cannot have a O-tl transition during the evaluate phase. However, the internal lines typically have very small coupling capacitances, and can thus be neglected. 506

6 Small e s t-subset (combination 1 ( invalid = 0; for each immediate subset subi of combination do if CsZLbi C L Cmin {Smallest-subset (subi); set invalid = 1; 1 ) if (invalid == 0) record combination ; Figure 5: Algorithm for determining the minimum set of lines with coupling capacitance Cmin. or exceeds Cmin, where immediate subset refers to the sub-combinations derived by removing any one line from combination. If none of the immediate subsets meets Cmin, it records the combination as a minimum subset. Otherwise, it recursively calls Smallest-subset on those immediate subsets of Combination that meet Cmin. The function Smallest-subset is executed once on the combination containing all the adjacent lines to the victim. The major advantage of the algorithm is that it does not traverse the entire search space of combinations (which is exponential in the number of adjacent lines to the victim). The function Smallest-subset recursively calls itself for only those combinations that meet or exceed Cmin, which is likely to be a small number for most designs. After all sets of adjacent lines are found that satisfy Cj Cj 2 Cmin, the next task is to determine if the required line assignments can be justified, and if the resulting error can be propagated to an observable point. Each such failure due to a subset of adjacent lines is modeled using the fault tuple concept developed in [18]. We formally define a crosstalk failure as a two-tuple (A, U), where A = {al,a2,a3,...,am} (m 5 n) is a set of aggressor signal lines coupled to a victim signal line U. We use the fault tuple test generation and fault simulation tools of [22] and [ 181 respectively, to determine crosstalk switch failure testability. If the fault corresponding to the failure is proven untestable, the failure is dropped since the effect of the failure is unobservable at the circuit outputs. Manufacturing variations are accounted for in the following way. The parameter,d (where 0 5 p 5 1) is used to represent the amount of variation in the fabrication process. In analyzing each failure, the coupling capacitances of all the aggressor lines are increased by a factor of (1 +,d), and the capacitances of all the static lines are decreased by a factor of (1 - p). The victim line driver resistance is also increased by (1 + 0). These changes No. of victim lines identified No. of victim No. of lines validated validated No. of I by crosstalk I by Hspice I crosstalk I testable I Table 1: The number of testable crosstalk switch failures identified for different values of /? for a dual-rail domino Wallace tree multiplier designed using a 2-metal, 0.25pm, 2.5V technology. create conditions more conducive to a crosstalk failure and, thus indicates if the failure can occur in the presence of variations. The entire flow of Figure 2 can be repeated for a new set of capacitance and driver resistance values. These newly identified failures do not occur in the nominal design and therefore are not crosstalk design errors but crosstalk faults that are probable in the presence of manufacturing variations quantified by,d. This analysis can be carried out for different values of,d. As,d increases, an increased number of failures can occur and these failures should be tested at the time of manufacturing, if the level of manufacturing variation indicated by,d reflects the current state of the process. 5 Failure Analysis Results The crosstalk analyzer tool, written in about 2000 lines of C, implements a majority of the flow shown in Figure 2. Our approach requires transistor netlists with accurate couvling cauacitance information. We avplied our 507

7 method to a dual-rail domino Wallace tree multiplier that was designed using a 2-metal, 0.25pm, 2.5V technology [23]. The overall design consists of 1806 transistors, arranged in 43 identical adder cells that formed a total of 172 domino gates. Each domino gate utilizes a footer transistor but no keeper^.^ The average number of lines coupled to each gate output is (with a minimum of 5 and a maximum of 21). The layout of the Wallace tree was generated automatically using an industrial place and route tool. A netlist containing parasitic capacitances was extracted from the layout using the tool Space [24]. The crosstalk analyzer tool was run on the extracted netlist of the multiplier. The time required for analyzing the complete netlist using our analyzer required 86.7 seconds whereas a similar analysis of the whole netlist using Hspice required seconds of CPU time, a speedup 37X. For the nominal design (/3 = 0), the crosstalk analyzer reduced the number of candidate victim lines from 172 to 3. For each of the candidate victim lines, Hspice was used to validate the failure. All possible sets of aggressor lines that can create the failure were then passed to a test generator [22], where it was determined that none of these failures are testable, implying that the nominal design is free from crosstalk design errors. Next, the procedure was repeated for fabrication variations. The results for different values of /3 are shown in Table 1. The first column shows the /3 values used. The second column shows the number of potential crosstalk sites identified by the crosstalk analyzer tool. In each case, it is observed that as /3 increases, more and more lines become potential victims of crosstalk. For each /3 value, the identified candidate victim lines are then passed to the Hspice validation block. The number of victim lines which are validated by Hspice analysis are shown in column three. The number of possible failures for each validated victim line is then generated and shown in column four. The testability of each of these failures is then determined using the ATPG and fault simulator block. The number of testable failures in the entire circuit are shown in column five of Table 1. 6 Conclusion We have described and implemented an efficient methodology for identifying crosstalk failures in CMOS domino circuits. Our crosstalk analysis tool accepts an extracted netlist of the circuit and uses a deterministic metric to identify sites that are likely to suffer from crosstalk. Depending on their likelihood of occurrence, susceptible 3The analysis described in Section 3 was modified by removing the ZL. term from eauation sites are identified as either design errors (present in every instance of the circuit) or faults (present in some circuits due to defects or extreme manufacturing variations). Limited Hspice analysis and ATPG are then used to confirm the existence and testability of the crosstalk failures, respectively. The final output produced is a set of test vectors for the confirmed errors and faults. The efficiency of the technique is demonstrated by the 37X speedup achieved over a similar analysis that uses Hspice. Our methodology, however, is subject to some simplifying assumptions that must be resolved in order to ensure the soundness of our approach. First, it assumes that all aggressor lines transition simultaneously,which obviously may or may not be case. Even if all aggressors can transition (nearly) at the same time, the problem of generating a test vector now becomes a complex optimization task. Related to this issue is the timing analysis required for activating and observing crosstalk failures within a given clock cycle constraint. Current work is focussed on augmenting our methodology to effectively deal with these realistic complexities. References Y. Taur, CMOS Scaling into the Nanometer Regime, Proc. of the IEEE, Vol. 85, No. 4, pp , April D. Sylvester and K. Keutzer, Getting to the Bottom of Deep Submicron, Proc. of the 1998 IEEE/ACM International Conference on Computer-Aided Design, pp , Nov A. Rubio, N. Itazaki, X. Xu and K. Kinoshita, An Approach to the Analysis and Detection of Crosstalk Faults in Digital VLSI Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 13, No. l, pp , March K. T. Lee, C. Nordquist and J. A. Abraham, Test Generation for Crosstalk Effects in VLSI Circuits, Proc. of the 1996 IEEE Intemational Symposium on Circuits and Systems, pp , May W. Chen, S. K. Gupta and M. A. Breuer, Analytic Models for Crosstalk Delay and Pulse Analysis under Non-Ideal Inputs, Proc. of the International Test Conference, pp , Oct W. Chen, S. K. Gupta and M. A. Breuer, Test Generation in VLSI Circuits for Crosstalk Noise, Proc. of the Intemational Test Conference, pp , Oct

8 [7] A. Liaud, J.Y. Fourniols and E. 0. Sicard, On Crosstalk Fault Detection in Hierarchical VLSI Logic Circuits, Proc. of the Third Asian Test Symposium, pp , Nov [8] M. A. Margolese and F. J. Ferguson, Using Temporal Constraints for Eliminating Crosstalk Candidates for Design and Test, Proc. of the 17th IEEE VLSI Test Symposium, pp , April [9] R. H. Krambeck, C. M. Lee and H. F. S. Law, High-speed Compact Circuits with CMOS, IEEE Journal of Solid-state Circuits, Vol. SC-17, NO. 3, pp , June [ 101 N. K. Jha, Testing for Multiple Faults in Domino- CMOS Logic Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 7, No. 1, pp , Jan [l 13 M. Renovell and J. Figueras, Current Testing Viability in Dynamic CMOS Circuits, Proc. of the IEEE International Workshop on Defect and Fault Tolerance in VLSISystems, pp , Oct [12] R. Rosing, A. M. D. Richardson, Y. E. Aimine, H. G. Kerkhoff and A. J. Acosta, Clock Switching: a New Design for Current Testability (DcT) Method for Dynamic Logic Circuits, Proc. of the 1998 IEEE International Workshop on IDDQ Testing, pp , Nov [ 13 J. T. Y. Chang and E. J. McCluskey, Detecting Resistive Shorts for CMOS Domino Circuits, Proc. of the International Test Conference, pp , Oct. 1998, [ 141 P. C. McGeer, Robust Path Delay-Fault Testability on Dynamic CMOS Circuits, Proc. of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp , Oct [ 151 K. Lee and J. A. Abraham, Critical Path Identification and Delay Tests of Dynamic Circuits, Proc. of the International Test Conference, pp , June [ 161 M. Lee and M. H. Darley, An Interconnect Transient Coupling Induced Noise Susceptibility for Dynamic Circuits in Deep Submicron CMOS Technology, Proc. of the 1998 IEEE Intemational Symposium on Circuits and Systems, pp , May [17] D. Somasekhar, S. H. Choi, K. Roy Y. Ye, and V. De, Dynamic Noise Analysis in Precharge- Evaluate Circuits, Proc. of the 37th Design Automation Conference. uu June [18] K. N. Dwarakanath and R. D. Blanton, Universal Fault Simulation Using Fault Tuples, Proc. of the 37th Design Automation Conference, pp , June [ 191 T. Sakurai and A. R. Newton, A Simple MOSFET Model for Circuit Analysis, IEEE Transactions on Electron Devices, Vol. 38, No. 4, pp , April [20] N. H. E. Weste and K. Eshraghian, Principles of CMOS VLSI Design, chapter 4, Addison-Wesley Publishing Company, [21] K. L. Shepard, V. Narayanan and R. Rose, Harmony: Static Noise Analysis of Deep Submicron Digital Integrated Circuits., IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 8, No. 1, pp , Aug [22] R. Desineni, K. N. Dwarakanath and R. D. Blanton, Universal Test Generation Using Fault Tuples, Proc. of the International Test Conference, Oct [23] B. Ramasubramanian, H. Schmit and L. R. Carley, Mixed-Swing Quadrail for Low Power Dual-Rail Domino Logic, Proc. of the 1999 International Symposium on Low Power Electronics and Design, pp , Aug N. P. Van der Meijs and A. J. Van Genderen, An Efficient Finite Element Method for Submicron IC Capacitance Extraction, Proc. of the 26th Design Automation Conference, pp , June [25] M. Shoji, CMOS Digital Circuit Technology, chapter 5, Prentice Hall, Englewood Cliffs,

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm

ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm ATPG for Faults Analysis in VLSI Circuits Using Immune Genetic Algorithm P.K.Chakrabarty 1, S.N.Patnaik 2 1Professor, Department of CSE., IT,BHU, India 2Asst.Professor, ECE Department, DRIEMS, Cuttack,

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects

Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects Determination of Worst-case Crosstalk Noise for Non-Switching Victims in GHz+ Interconnects Jun Chen ECE Department University of Wisconsin, Madison junc@cae.wisc.edu Lei He EE Department University of

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

Tailoring Tests for Functional Binning of Integrated Circuits

Tailoring Tests for Functional Binning of Integrated Circuits 2012 IEEE 21st Asian Test Symposium Tailoring Tests for Functional Binning of Integrated Circuits Suraj Sindia Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Alabama,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

FeRAM Circuit Technology for System on a Chip

FeRAM Circuit Technology for System on a Chip FeRAM Circuit Technology for System on a Chip K. Asari 1,2,4, Y. Mitsuyama 2, T. Onoye 2, I. Shirakawa 2, H. Hirano 1, T. Honda 1, T. Otsuki 1, T. Baba 3, T. Meng 4 1 Matsushita Electronics Corp., Osaka,

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

Designing with Siliconix PC Card (PCMCIA) Power Interface Switches

Designing with Siliconix PC Card (PCMCIA) Power Interface Switches Designing with Siliconix PC Card (PCMCIA) Power Interface Switches AN716 Innovation in portable computer design is driven today by the need for smaller, lighter, and more energy-efficient products. This

More information

Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores,

Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores, JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 529 538, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Testing for Interconnect Crosstalk Defects Using On-Chip Embedded

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool White Paper Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool September 2009 Author Dr. Larry G. Jones, Implementation Group, Synopsys, Inc. Introduction With the continued evolution

More information

By Charvi Dhoot*, Vincent J. Mooney &,

By Charvi Dhoot*, Vincent J. Mooney &, By Charvi Dhoot*, Vincent J. Mooney &, -Shubhajit Roy Chowdhury*, Lap Pui Chau # *International Institute of Information Technology, Hyderabad, India & School of Electrical and Computer Engineering, Georgia

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput

Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput Designing NULL Convention Combinational Circuits to Fully Utilize Gate-Level Pipelining for Maximum Throughput Scott C. Smith University of Missouri Rolla, Department of Electrical and Computer Engineering

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs

Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs Lun Ye 1 Foong-Charn Chang 1 Peter Feldmann 1 Nagaraj NS 2 Rakesh Chadha 1 Frank Cano 3 1 Bell Laboratories, Murray

More information

EE577b. Register File. By Joong-Seok Moon

EE577b. Register File. By Joong-Seok Moon EE577b Register File By Joong-Seok Moon Register File A set of registers that store data Consists of a small array of static memory cells Smallest size and fastest access time in memory hierarchy (Register

More information

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements

CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements CMOS Logic Gate Performance Variability Related to Transistor Network Arrangements Digeorgia N. da Silva, André I. Reis, Renato P. Ribas PGMicro - Federal University of Rio Grande do Sul, Av. Bento Gonçalves

More information

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology, Low Power PLAs Reginaldo Tavares, Michel Berkelaar, Jochen Jess Information and Communication Systems Section, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands {regi,michel,jess}@ics.ele.tue.nl

More information

INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS

INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS INTELLIGENT LOAD SWITCHING HELPS IMPLEMENT RELIABLE HOT SWAP SYSTEMS March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

DETECTING timing-related defects has become vital for

DETECTING timing-related defects has become vital for IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 6, JUNE 2013 1129 Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects Ke Peng, Member, IEEE,

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Monotonic Static CMOS and Dual V T Technology

Monotonic Static CMOS and Dual V T Technology Monotonic Static CMOS and Dual V T Technology Tyler Thorp, Gin Yee and Carl Sechen Department of Electrical Engineering University of Wasngton, Seattle, WA 98195 {thorp,gsyee,sechen}@twolf.ee.wasngton.edu

More information

Recent Topics on Programmable Logic Array

Recent Topics on Programmable Logic Array Seminar Material For Graduate Students 2001/11/30 Recent Topics on Programmable Logic Array Department of Electronics Engineering, Asada Lab. M1, 16762, Ulkuhan Ekinciel Abstract: The programmable logic

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias

Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Bookmark file Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias Stephen V. Kosonocky, Mike Immediato, Peter Cottrell*, Terence Hook*, Randy Mann*, Jeff Brown* IBM T.J. Watson Research

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 13 Memory and Interfaces 2005-3-1 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Electronic Circuits Prof. Nizamettin AYDIN naydin@yildiz.edu.tr http://www.yildiz.edu.tr/~naydin Dr. Gökhan Bilgin gokhanb@ce.yildiz.edu.tr Digital devices Introduction Gate characteristics Logic families

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

VLSI microarchitecture. Scaling. Toni Juan

VLSI microarchitecture. Scaling. Toni Juan VLSI microarchitecture Scaling Toni Juan Short index Administrative glass schedule projects? Topic of the day: Scaling VLSI microarchitecture Toni Juan, Nov 2000 2 Administrative Class Schedule Day Topic

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018 Application Note AN105 A1 PCB Design and Layout Considerations for Adesto Memory Devices March 8, 2018 Adesto Technologies 2018 3600 Peterson Way Santa Clara CA. 95054 Phone 408 400 0578 www.adestotech.com

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology 2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 Brief Papers Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Student Member, IEEE, and Behzad Razavi, Fellow,

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

The Related Effects of Increased PN Junction Area on ESD Protection Capability

The Related Effects of Increased PN Junction Area on ESD Protection Capability Southern Illinois University Carbondale OpenSIUC Conference Proceedings Department of Electrical and Computer Engineering 12-1995 The Related Effects of Increased PN Junction Area on ESD Protection Capability

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information