LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

Size: px
Start display at page:

Download "LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands"

Transcription

1 LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium Santa Clara, February 1998

2

3 LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk ASM Lithography B.V., De Run 1110, NL-5503 LA Veldhoven, the Netherlands Abstract Commercially available photoresists from the major photoresist vendors are investigated using a PAS 5500/300 wafer stepper, a 31.1 mm diameter field size high throughput wafer stepper with variable NA capability up to The critical dimension (CD) investigated is 0.25 µm and lower for dense and isolated lines and 0.25 µm for dense contact holes. The photoresist process performance is quantified by measuring exposure-defocus (ED) windows for a specific resolution using a CD SEM. Photoresists that are comparable with or better than APEX-E with RTC top coat, which is the current base line process for lines and spaces imaging performance, are Clariant AZ-DX1300 and Shin Etsu SEPR-4103PB50. Most recent photoresists have much improved delay performance when compared to APEX without top coat. Improvement, when an organic BARC is applied, depends on the actual photoresist characteristics. The optimal photoresist found for 0.25 µm contact holes is TOK DP015 C. This process operates at optimal conditions. 1. Introduction In this paper, not only are the characteristics of DUV photoresists determined but also the different requirements that a stepper manufacturer has with respect to photoresist processes are discussed. DUV photoresists are evaluated for their imaging performance on DUV (248 nm) wafer steppers and scanners; that is, the ASML PAS 5500/300 stepper and the PAS 5500/500 step and scan system. The PAS 5500/300 is a wafer stepper with a 31.1 mm diameter field, automatically variable NA (up to 0.63) and coherence (up to 0.85) and the possibility for both conventional and annular illumination without loss of intensity. The PAS 5500/500 is a step and scan system with a 26 x 33 mm field and the same illumination characteristics as the PAS 5500/300. All experimental work was performed on a PAS 5500/300 but newly selected photoresists should also be capable of being used for scanner technology. This paper discusses first the requirements for a DUV photoresist process followed by the experimental set up and the experimental results for lines and spaces and contact holes. Further author information bob.streefkerk@asml.nl, telephone: , fax DUV photoresist requirements For stepper suppliers, the use of photoresist processes differs from the typical use in a fab. One of the most demanding requirements for a process within ASML is the use for analysis of system performance at the specified resolution. The lens performance test, internally referred to as lens qualification, is done on standalone exposure tools and wafers must be transported back and forth from the track; the exposure tools and wafer tracks are not interfaced. The wafers undergo delays before and after exposure of up to half an hour and are exposed to the unfiltered environment. The substrates for the photoresists are polished silicon wafers, without inorganic or organic antireflective coatings, are ultraflat and, thus, without topography. It is desirable to use a simple process and, therefore, a BARC is not used. Generally, these ultraflat silicon wafers are re-used for subsequent lens qualifications. A typical PAS 5500/300 lens qualification is performed with dense (1:1) lines and spaces for imaging testing. Photoresist vendors tend to develop dedicated photoresists for use on either inorganic or organic BARCs or bare silicon [1], either for dense or isolated structures, for lines and spaces or contact holes. In this paper, only typical dense photoresists are investigated. 1

4 Because of the distance between the exposure tool to be qualified and the wafer track where the wafer is processed, the delay effects play a very big role; the contamination levels are a critical concern to ASML (see Figure 1). Thus, the photoresist should not be significantly sensitive to delay or contamination. Although the exposure tool and the wafer track are charcoal filtered, the (un)exposed wafer can be exposed to the environment during transport. concentration in ppbv Figure 1 ammonia concentration in ppbv at ASML Veldhoven 10:45:50 17:55:50 01:05:50 08:15:50 15:25:50 22:35:50 05:45:51 12:55:52 20:05:52 03:15:52 10:25:52 17:35:53 00:45:53 07:55:54 15:05:54 22:15:54 05:25:54 12:35:54 time in hours An example of ammonia concentration in the Netherlands as measured by an ETG meter The depth of focus (DOF) and the CD uniformity over the image field are usually measured during lens qualification. Because it is desirable to test only the lens component of the CD uniformity budget, the influence of the photoresist process should be at a minimum. Therefore, the CD change, due to contamination effects and post exposure bake (PEB) variations should be as low as possible. For optimal CD uniformity, it is also important to use the photoresist process at its optimal condition, at isofocal position. The target CD and the photoresist CD with the highest depth of focus are, normally, different; this isofocal bias can be as high as 50 nm at wafer level. The photoresist process should have a low isofocal bias to have a small difference between the target and the photoresist isofocal CD. Another requirement is the photospeed of the photoresist. A step and scan system needs to be tested at full performance for lines and spaces. Hence, the photoresist should have an exposure dose, at the resolution limit, of less than or equal to 17 mj/cm 2. I ILL For future requirements and optimal flexibility of use, the photoresist should be compatible with and give acceptable results on a BARC. Similarly, a good performance on both dense and isolated lines is, preferably, required. Finally, the photoresist to be selected should have at least the imaging performance of the process already in use at ASML; that is, APEX-E with a protective top coat. Imaging performance is characterized by depth of focus and exposure latitude. The requirements for this very versatile lines and spaces photoresist are summarized below: - Resolution photospeed: exposure dose < 17 mj/cm 2 for 0.25, and µm lines and spaces, - Process sensitivity: CD sensitivity to PEB < 5 nm/ C, - Isofocal bias for dense lines < 10% of the nominal CD, - Depth of focus 10% exposure latitude (EL) comparable to APEX, - Contamination sensitivity without RTC: < 5 nm/half hour in 20 ppb NH 3 environment, - Iso-dense bias < 20 nm, - BARC compatible (future requirements) but also compatible with highly reflective substrates, - Acceptable behavior for both dense and isolated lines. The contact holes photoresist has only the requirements of DOF and contamination sensitivity. 3. Experiments 3.1. Experimental set up The exposure tool used was a PAS 5500/300 wafer stepper. For 0.25 and µm lines and spaces, an NA of 0.57 and a σ of 0.75 was used, while, for µm lines and spaces, an NA of 0.63 and an annular condition of σ = 0.75/045 was used. For contact hole testing, the NA was 0.5 and σ was 0.85/0.55. For photoresist processing, a FSI Polaris 2000 process cluster (proximity bake, sealed HMDS modules) was used. Both the stepper and the process cluster were filtered by Donaldson active charcoal filters. The stepper and process cluster were not interfaced. 2

5 Coat thickness and uniformity were measured on a Tencor UV1050. For lines and spaces, a coat thickness was chosen at the maximal incoupling condition for reflectivity (E min ). For contact holes, both minimal and maximal incouplings were examined. An aspect ratio between thickness and CD of between 2.5 and 3.5 was selected. The environmental ammonia level was measured by a real time ETG monitor. Process conditions for four of the photoresists and the BARC are shown in Table 1. Table 1 APEX-E2408 (+ RTC) SEPR-4103 PB50 Process conditions for lines and spaces Film thickness 0.78 ( ) Soft bake ( C, s) PEB ( C, s) 95, , , , 60 AZ-DX ,90 105, 60 TOK DP / , , 90 DUV 18-L , , 60 Developer 0.21N (60s) MF N (60s) OCG OPD N (60s) OCG OPD N (60s) OCG OPD262 For the CD measurement of 0.25 and µm lines and spaces, an automatic top down OPAL 7830I CD SEM was used. For smaller linewidths, for contact holes and for profile testing, a low voltage tiltable Hitachi S7800 CD SEM or a Philips XL50 was used. For further analysis of the CD data, the software package ED Forest 1.14 (Linnovation Inc.) for lines and spaces was used; the process windows (and, thus, the calculated DOFs) were centered around the nominal CD. For analyzing the contact holes, Monolith (4.0 Shipley Co. Int.) was used; the energy was selected at which the CD at best focus was µm, such that the full curve fitted in + 10% of the nominal CD. The process windows constructed with both software packages are based on a tolerated CD variation of + 10%. shown in figure 3. In both cases, only one module in the center of the reticle was measured, which is, thus, also the center of the lens field Figure 2 Figure 3 Reticle Imagin reticle module Reticle contact hole module (SEM photograph) 3.2. Lines and spaces 0.25 Ten photoresists were tested in both t-boc (Shipley APEX-E), ESCAP (Shipley UV3 and UV6) and acetal (OLIN ARCH-200, TOK P007, 015 and 024, Shin Etsu SEPR 4103 PB50, Clariant AZ-DX1300 and Sumitomo PEK 101A6) families. Because the results of Shin Etsu and Clariant are most comparable with those of APEX-E, these photoresists are further discussed. Other photoresists are referred to as Photoresist 1, Photoresist 2 and so on. The calculated DOFs at 10% EL for dense lines and ODOFs (overlapping depth of focus) for dense and isolated 0.25 µm lines, for all photoresists, are shown in Figure 4 (for the full data set, see Table 2). H Module V I ILL The reticle, used for lines and spaces, was an ASML HV Imagin reticle that contains both fully isolated and dense features as indicated in figure 2. The reticle, used for contact hole testing, was a short step contact reticle of which the dense modules are typically as 3

6 DOF Figure 4 The isofocal CDs obtained for 0.25 µm dense lines are shown in Figure 5 for each photoresist. CD Figure 5 APEX-E APEX-E SEPR-4103PB50 DOF@10%EL 0.25 µm lines AZ-DX1300 Photoresist 1 DOF at 10% EL for 0.25 µm lines SEPR-4103PB50 Isofocal positions DOF dense lines ODOF dense & isolated lines Photoresist 2 It can be seen that, with the same target CD, the isofocal position for each process is different: it can be above or below the target CD of 0.25 µm. For maximum process stability, it is desirable to maintain the photoresist process close to the isofocal condition. Therefore, ASML allows the nominal CD to be biased by a maximum of 10% during lens qualification, thus allowing a nominal CD between and µm. For semiconductor manufacturing, the CD change due to etching is also very important when interpreting the CD bias; therefore, in practice, it may be more Photoresist 3 Photoresist 4 Photoresist 5 isofocal position per resist AZ-DX1300 Photoresist 1 Photoresist 2 Photoresist 3 Photoresist 4 Photoresist 5 Photoresist 6 Photoresist 6 Photoresist 7 I ILL Photoresist 7 I ILL appropriate to bias the reticle CD [5], [7]. The performance of Shin Etsu SEPR 4103 PB50 and Clariant AZ-DX1300 are comparable to the DOF performance of APEX; for the lens qualification of the PAS 5500/300 (0.25 µm resolution) the DOF requirement is dominant. The isofocal bias is, however, rather on the high side for both photoresists and will be further examined. The measured DOFs at 10% EL for dense lines and ODOFs for dense and isolated µm lines, for all photoresists, are shown in Figure 6 (see also Table 3). DOF Figure 6 APEX-E DOF@10%EL µm lines SEPR-4103PB50 AZ-DX1300 DOF dense lines ODOF dense & isolated lines DOF at 10% EL for µm lines The trends are consistent with those of 0.25 µm lines and spaces. As the resolved CD decreases, a shake out of photoresists occurs. The PAS 5500/500 system imaging qualification is performed at this resolution; in this case, not only is the isofocal CD a dominant requirement but also the exposure latitude (EL). These are relatively high for these photoresists (16% for Shin Etsu and 13% for Clariant at a DOF of 0.8 µm). For µm screening, the Hitachi S-7800, in manual mode, was used for measurements. Data on isolated lines behavior for µm was not collected. Overlap of process window between dense and isolated structures is reported to be very small [2], [5]. Optical proximity correction (OPC) of the reticle is required for µm imaging. Photoresist 3 Photoresist 4 Photoresist 6 Photoresist 7 I ILL 4

7 The results for µm lines, for all photoresists, are shown in Figure 7 (see also Table 4). resolution of 0.18 µm, a DOF greater than 1.1 µm can be achieved with APEX-E [4]. This questions the APEX-E data in this experiment. DOF maximal DOF µm dense lines DOF dense lines I ILL The isofocal CD varies significantly per photoresist: analogous to the 0.25 µm case for this resolution the isofocal bias varies by up to 14%. The same trend in positive or negative isofocal offset can be observed, as with 0.25 µm lines; it is not likely to be caused by a linearity issue APEX-E SEPR-4103PB50 AZ-DX1300 Photoresist 3 Photoresist 4 Photoresist 6 Photoresist 7 CD isofocal position per resist I ILL Figure 7 Maximal DOF for µm dense lines In this µm region, Clariant AZ DX1300 performs very well compared to other photoresists. Its resolution capability has been proven in literature [3]. SEM photographs of dense line performance are shown in Figure 8. The optical proximity effect can clearly be seen in these photographs. OPC is required. In contrast to these experiments, it has been found, with a PAS 5500/500 step and scan system, that, at a 0.14 Figure 9 APEX-E SEPR-4103PB50 AZ-DX1300 Photoresist 3 Photoresist 4 Photoresist 6 Isofocal positions per photoresist Photoresist 7 Limiting positions (5 bar) f = 0.2 µm best focus f = µm Limiting positions (3 bars left) Figure 8 f = 0.6 µm best focus f = µm Clariant DX µm dense lines performance (NA = 0.63, σ = 0.75/0.45, FT = 0.7 µm) 5

8 Delay time effects were investigated by exposing the wafers to the clean room air of which the average ammonia level was around ppb during the experiments. Delay time effects can be caused by intrinsic acid diffusion of the exposed photoresist and also by the neutralization of acid by environmental base gas. The delays between coat and expose (CED) and between expose and PEB (PED) were investigated for 0.25 µm dense lines. This led to the results of Figure 10 (see also Table 5) Figure 10 APEX-E effect of process delays on DOF performance SEPR-4103PB50 AZ-DX1300 reference DOF decrease by CED delay decrease by PED delay Effect of process delays on DOF performance Although APEX-E itself is very sensitive to delay effects, the use of the protective top coat layer showed no significant delay effects. Photoresists 1 and 2 are meant for use on antireflective substrates and the combination of standing waves and contamination effects led to severe T-topping [6] ; the results for these are, therefore, not found in the graph above. Most other photoresists are far less sensitive to delays than APEX-E without RTC. Only Shin Etsu CED delay needs more investigation because a significant effect was seen. Photoresist 4 Photoresist 6 Photoresist 7 I ILL DOF Figure 11 ODOF@10%EL dense & isolated lines BARC influence on DUV 18L on silicon APEX DX1300 Photoresist 6 10% EL dense and isolated lines BARC influence The improvement from a BARC process on the overlapping 10% EL depends very much on the actual photoresist. Where it is detrimental for APEX-E and of almost no influence for AZ-DX1300, it is required for Photoresist 6 because this photoresist is especially developed for use on a BARC CONTACT HOLE TESTING Seven photoresists were tested in both t-boc (Shipley APEX-E), ESCAP (Shipley UV3 and UV6) and acetal (TOK P007 and P015, Clariant AZ DX1200, JSR KRF TRA 694 and Sumitomo PEK 405) families. TOK DP015 is further detailed because this photoresist is the preferred photoresist within ASML. Other photoresists are referred to as photoresist 1, photoresist 2 and so on. Both the maximal and minimal incoupling conditions are tested. I ILL For a subset of photoresists, it is also investigated what the influence is of an organic bottom antireflective coating (BARC). In this case, Brewer Science DUV18L was used. The results are shown in Figure 11 6

9 The results for 0.25 µm dense contact holes were as shown in Figure 12 (see also Table 6). DOF Figure 12 maximal DOF 0.25µm contact holes APEX-E2408 TOK DP015C Photoresist 8 Photoresist 1 Maximal DOF 0.25 µm contact holes It can be seen that, generally, the maximal incoupling condition (E min, based on reflectivity) results in better DOF. TOK DP015C was found to perform best on silicon substrates. The other candidate (Photoresist 8) with a similarly high DOF showed side lobing caused by standing waves. At these conditions, for TOK DP015, a total exposure-defocus window was determined, see also Figure 13. Photoresist 2 Photoresist 4 Photoresist 9 Emin Emax Photoresist 10 I ILL The top down images can be found in Figure 15. The irregular shape of the contact hole visible on the reticle in Figure 3 is not printed at wafer level, as seen in Figure 15; these are circular as a result of the filtering of the image system. The process sensitivity of TOK DP015 has been investigated with respect to changes in soft bake temperature, PEB temperature and time and developer time. The results are given in the Figure 14. The middle setting of each parameter is the setting advised by the vendor. For each setting of a parameter, three measurements are supplied and are shown in the graph as open boxes. The mean of each setting is indicated. The DOF is used as the measure. DOF Figure 14 process sensitivity of TOK DP soft bake PEB temp PEB time experiment group average trend Process sensitivity of TOK DP015 I ILL developer critical dimension (nm) µm contact holes TOK DP 015C@0.68 µm defocus Figure 13 ED window for TOK DP µm contact holes I ILL Only the PEB temperature gives a significant effect, which is also to be expected for a DUV photoresist. Within the uniformity of the bake plate (± 0.3 C) no severe DOF decrease is to be expected. The process is, thus, robust 4. Conclusions In this paper, the performance of photoresists is examined for use, within ASML, for the lens qualification of PAS 5500/300 and PAS 5500/500 systems on bare silicon wafers. For commercially available photoresists, the performance of Clariant AZ-DX1300 and Shin Etsu SEPR 4103 PB50 is comparable to or better than APEX-E: for 0.25 µm dense lines and spaces; the 10% EL is respectively 1.2, 1.3 and 1.1 µm. For µm, the DOF is 1.1, 1.2 and 0.8 µm respectively. For µm, this is 0.7, 1.1 and 0.6 µm. To achieve 7

10 Figure 15 f = µm f = µm f = + 0. µm f = 0.8 µm f = 0.9 µm Top down SEM photographs of TOK DP µm contact holes with annular illumination this latter resolution for all pitches, OPC may be required. The isofocal bias of a photoresist differs by up to 24% from the target CD. Delay effects are generally much less than for APEX-E without top coat; only (effects of) 15% change in DOF are observed for some photoresists with 0.5 hour delay in an unfiltered environment. The use of a BARC on a bare silicon substrate does not always improve the DOF; this depends very much on the photoresist under consideration. For some photoresists, an antireflective coat is required. For dense 0.25 µm contact holes, best performance on bare silicon was found with TOK DP015; a maximal DOF of 1.6 µm was found, compared to 0.8 µm for APEX. Acknowledgements The authors would like to thank Jenny Swinkels, Yin Fong Choi, Mariette Hoogendijk, Frank Duray, Ted der Kinderen and Raymond Maas for their SEM support, Marty Vermeulen for his assistance with the process cluster and exposures. Paul Luehrmann, Jan-Willem Martens, Paul van Attekum, Donis Flagello and Jelle van der Voort for help in improving this paper by critical reviews. References [1] Koen van Ingen Schenau and Jan-Pieter Kuijten, investigation of key components to intrafield CD variation for sub-quarter micron lithography, OLIN interface, pp 41-45, October 1997 [2] Kafai Lai et al, The role of resist chemistry in extending 248 nm lithography below 0.25 micron, Olin Interface Proceedings, pp , 1997 [3] Georg Pawlowski, Acetal based DUV Photoresist for Sub-Quarter Micron Lithography, Semiconductor Fabtech, pp , 1997 [4] Jan van Schoot et al, Advanced imaging and overlay performance of a DUV Step and Scan System, Semicon Japan 97 [5] Geert Vandenberghe, et al., 248 nm lithography for the 0.18 micron generation, OLIN Interface, pp 29-42, October 1996 [6] Sassan Nour, et.al., Process techniques for improving post-exposure delay stability in chemically amplified resists, advances in resist technology and processing xiv, SPIE 1997, pp [7] Jo Finders, et.al., Optimizing I-line lithography for 0.3 micron polygate manufacturing, Solid State Technology, March

11 APPENDIX Experimental data Table µm lines and spaces results Energy (mj/cm 2 ) Isofocal CD dense Iso-dense bias (nm) 10% EL dense 0.8 DOF dense (%) 10% EL dense and isolated APEX-E SEPR-4103PB AZ-DX Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Table µm lines and spaces results Isofocal CD dense Iso-dense bias (nm) 10% EL dense 0.8 DOF dense (%) 10% EL dense and isolated APEX-E SEPR-4103PB AZ-DX Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Table µm lines and spaces results Isofocal CD dense nominal dense EL max (%) APEX-E SEPR-4103PB AZ-DX Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist

12 Table µm dense lines delay results 10% EL ref 10% EL CED delay 10% EL PED delay APEX-E SEPR-4103PB AZ-DX Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Photoresist Table µm contact holes results Incoupling Energy (mj/cm 2 ) Maximal DOF Maximal EL (%) APEX-E E min TOK TDUR-P015 E min E max Photoresist 8 E min E max Photoresist 1 E min E max Photoresist 2 E min E max Photoresist 4 E max Photoresist 9 E min E max Photoresist 10 E min E max

13 11

14

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Dynamic Performance of DUV Step & Scan Systems and Process Latitude

Dynamic Performance of DUV Step & Scan Systems and Process Latitude Dynamic Performance of DUV Step & Scan Systems and Process Latitude Michel Klaassen, Marian Reuhman, Antoine Loock Mike Rademaker, Jack Gemen ASML, De Run 111, 553 LA Veldhoven, The Netherlands This paper

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Background Statement for SEMI Draft Document 4274 New Standard TEST METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Notice: This background

More information

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr. A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541 Abstract In the semiconductor manufacturing industry,

More information

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data!

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data! Can you tell me anything about this data! 1 In Semiconductor Manufacturing the Photolithography process steps are very critical to ensure proper circuit and device performance. Without good CD (critical

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING Warren W. Flack, Robert Hsieh, Gareth Kenyon Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA wflack@ultratech.com John Slabbekoorn,

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Outline. Abstract. Modeling Approach

Outline. Abstract. Modeling Approach EUV Interference Lithography Michael Goldstein ϕ, Donald Barnhart λ, Ranju D. Venables ϕ, Bernice Van Der Meer ϕ, Yashesh A. Shroff ϕ ϕ = Intel Corporation (www.intel.com), λ = Optica Software (www.opticasoftware.com)

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Coping with Variability in Semiconductor Manufacturing

Coping with Variability in Semiconductor Manufacturing 1 Coping with Variability in Semiconductor Manufacturing Costas J. Spanos Berkeley Computer Aided Manufacturing Department of EECS University of California, Berkeley 12/6/04 2 The Traditional Semiconductor

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, 01109 Dresden, Germany 4 rd European DoE

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Optical Lithography Modelling with MATLAB

Optical Lithography Modelling with MATLAB Optical Lithography Modelling with MATLAB 2 Laboratory Manual to accompany Fundamental Principles of Optical Lithography, by Chris Mack 2 Optical Lithography Modelling with MATLAB Kevin Berwick Optical

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION Algorithm Implementation and Techniques for Providing More Reliable Overlay Measurements and Better Tracking of the Shallow Trench Isolation (STI) Process Doug Schramm a, Dale Bowles a, Martin Mastovich

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

In-situ metrology for pad surface monitoring in CMP

In-situ metrology for pad surface monitoring in CMP Application note In-situ metrology for pad surface monitoring in CMP The CMP process Chemical Mechanical Planarization (CMP) is one of the most critical processes in the semiconductor, hard disk and LED

More information

Mu lt i s p e c t r a l

Mu lt i s p e c t r a l Viewing Angle Analyser Revolutionary system for full spectral and polarization measurement in the entire viewing angle EZContrastMS80 & EZContrastMS88 ADVANCED LIGHT ANALYSIS by Field iris Fourier plane

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI MLI INTRODUCTION GUIDE Table of Contents MLI, the Company Introduction of MLI Why MLI MLI Test Equipments Pellicle Introduction Pellicle Film Transmission Pellicle Mounting Tool MLI Quality System 3 4

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Polymer Micro-Optics for Today s Compact Photonic Devices

Polymer Micro-Optics for Today s Compact Photonic Devices Polymer Micro-Optics for Today s Compact Photonic Devices Lynn Dobosz - North America Sales & Business Development for the Opto-Electronic Systems business unit of the Optical Systems division of Jenoptik

More information

Nishtha Bhatia Washington High School July 31 st, 2014

Nishtha Bhatia Washington High School July 31 st, 2014 Nishtha Bhatia Washington High School July 31 st, 2014 MY PROJECTS Programming Photolithography o Incorporate image slider to Nanolab website homepage o MNL s current i-line PR OiR 897-10i is discontinued

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Photoresist Thin Film Effects on Alignment Process Capability

Photoresist Thin Film Effects on Alignment Process Capability Photoresist Thin Film Effects on Alignment Process Capability Gary E. Flores Ultratech Stepper 30 Scott Blvd. Santa Clara, CA 95054 Warren W. Flack TRW Inc. Mail Station D1/2513 Redondo Beach, CA 90278

More information

Practical BEAMER Applications for the Heidelberg DWL 66 +

Practical BEAMER Applications for the Heidelberg DWL 66 + Practical BEAMER Applications for the Heidelberg DWL 66 + Gerald Lopez, PhD Lithography Manager Penn Engineering School of Engineering Singh Center and for Applied Nanotechnology Science Singh Center for

More information

3D Holographic Lithography

3D Holographic Lithography 3D Holographic Lithography Luke Seed, Gavin Williams, Jesus Toriz-Garcia Department of Electronic and Electrical Engineering University of Sheffield Richard McWilliam, Alan Purvis, Richard Curry School

More information

ABM's High Resolution Mask Aligner Features:

ABM's High Resolution Mask Aligner Features: ABM's High Resolution Mask Aligner is a very versatile instrument with interchangeable light sources which allow Near-UV (405-365 nm) as well as Mid- and Deep-UV (254 nm, 220 nm) exposures in proximity

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Title: Heidelberg DWL66+ Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 05/03/2017

Title: Heidelberg DWL66+ Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 05/03/2017 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Heidelberg DWL66+. All users are expected to have read and understood this document.

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Near-Field Recording Technologies

Near-Field Recording Technologies 4 th Annual Optical Storage Symposium Near-Field Recording Technologies No-Cheol Park pnch@yonsei.ac.kr October 5, 2006 Basic Concept of SIL Based Near-Field Recording Super high resolution has been achieved

More information

Optimization of one- and two dimensional masks in the optical lithography

Optimization of one- and two dimensional masks in the optical lithography Optimization of one- and two dimensional masks in the optical lithography Richárd Farkas University of Szeged Gabriella Kókai Friedrich-Alexander Universität Erlangen-Nürnberg Bernd Tollkühn, Andreas Erdmann,

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

High Throughput Maskless Lithography

High Throughput Maskless Lithography High Throughput Maskless Lithography Sokudo lithography breakfast forum July 14 th 2010 Bert Jan Kampherbeek, VP Market Development and co-founder Agenda MAPPER s Objective MAPPER s Status MAPPER s Roadmap

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

First Operational Experience from the LHCb Silicon Tracker

First Operational Experience from the LHCb Silicon Tracker First Operational Experience from the LHCb Silicon Tracker 7 th International Hiroshima Symposium on Development and Application of Semiconductor Tracking Devices The LHCb Silicon Tracker Installation

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

FlexAirConnecT Dust Insensitive Multi-Fiber Connector with Low Loss and Low Mating Force

FlexAirConnecT Dust Insensitive Multi-Fiber Connector with Low Loss and Low Mating Force INFOCOMMUNICATIONS FlexAirConnecT Dust Insensitive Multi-Fiber Connector with Low Loss and Low Mating Force Hajime ARAO*, Sho YAKABE, Fumiya UEHARA, Dai SASAKI, and Takayuki SHIMAZU ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Deterministic microlens diffuser for Lambertian scatter

Deterministic microlens diffuser for Lambertian scatter Deterministic microlens diffuser for Lambertian scatter Tasso R. M. Sales, Donald J. Schertler, and Stephen Chakmakjian RPC Photonics, Inc. 330 Clay Road, Rochester, New York 14623 Phone: 585-272-2840

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Article 3D Topography Mask Aligner

Article 3D Topography Mask Aligner Article 3D Topography Mask Aligner Lithography Simulation Ulrich Hofmann, Nezih Ünal GenISys GmbH 82024 Taufkirchen Germany Ralph Zoberbier SUSS MicroTec Lithography GmbH 85748 Garching Germany Ton Nellissen

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Reflectivity Control at Substrate / Photoresist Interface by Inorganic Bottom Anti-Reflection Coating for Nanometerscaled

Reflectivity Control at Substrate / Photoresist Interface by Inorganic Bottom Anti-Reflection Coating for Nanometerscaled TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 3, pp. 159-163, June 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.3.159 Reflectivity

More information

TMT Conference 2011 Bank of America

TMT Conference 2011 Bank of America TMT Conference 2011 Bank of America London Franki D Hoore, Director European Investor Relations June 7, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis More Info at Open Access Database www.ndt.net/?id=15137 Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis Jean-Simon Fraser, Pierre-Hugues Allard Creaform, 5825 rue St-Georges,

More information

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014 EUV Frits van Hout Executive Vice President & Chief Program Officer 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Supplementary Information for Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Bokwang Song 1, Villads Egede Johansen 2,3, Ole Sigmund 3 and Jung H. Shin 4,1,* 1

More information

DENTAL WEAR SURFACE USING 3D PROFILOMETRY

DENTAL WEAR SURFACE USING 3D PROFILOMETRY DENTAL WEAR SURFACE USING 3D PROFILOMETRY Prepared by Ali Mansouri 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2016 NANOVEA

More information

Quality Control Test Equipment for Photoreceptors, Charge Rollers and Magnetic Rollers

Quality Control Test Equipment for Photoreceptors, Charge Rollers and Magnetic Rollers Quality Control Test Equipment for Photoreceptors, Charge Rollers and Magnetic Rollers Ming-Kai Tse QEA, Inc. 99 South Bedford Street #4, Burlington, MA 01803 USA Tel: (781) 221-0080 Fax: (781) 221-7107

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information