Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Size: px
Start display at page:

Download "Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology"

Transcription

1 Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley, CA ABSTRACT As we enter the DUV lithography generation, the developmental phase of the photolithography process is becoming crucial due to the high costs associated with the lithography equipment. Improvements in the modeling of chemically amplified resists are necessary to extract the maximum possible information from the minimum amount of experimentation. The poor man s dissolution rate monitor (drm) method has been used successfully to extract the post exposure bake (PEB) and develop rate parameters for conventional I-line photoresists and some DUV chemically amplified photoresists (CARs). However, the original method suffers from some drawbacks such as locally optimized results due to the highly non-linear nature of the Mack development model and the need for visual inspection to detect convergence of the rate data. This paper used a simulated annealing optimization engine for global optimization and uses the deprotection induced thickness loss phenomenon for the conversion of dose to m. Post-exposure bake and develop rate parameters have been extracted for Shipley s UV-5 DUV photoresist. Keywords: Poor Man s Dissolution Rate Monitor (DRM), Chemically Amplified Resists (CARs), Shipley UV-5 photoresist, Simulated Annealing (SA), Deprotection Induced Thickness Loss (DITL), Fourier Transform Infrared Spectroscopy (FTIR), Mack development model, Lithography Modeling. 1. INTRODUCTION As the semiconductor industry moves into the deep submicron regime (0.18 µm) and larger wafer diameters (300 mm), the cost associated with each wafer is increasing rapidly. This calls for a reduction in the number of characterization experiments usually devoted to developing a new process. Improvements in lithographic modeling are thus needed to extract the maximum possible information from the minimum amount of experimentation. The need for good modeling capabilities is especially true for chemically amplified resists. CARs are very sensitive to tool set (stepper, track, etc.) and hence an ideal calibration procedure would entail in-situ measurement techniques at each processing step [1]. This technique would analytically determine chemical, physical and kinetic quantities relevant to the resist system and processing conditions. Previous work with in-situ sensors for the lithography sequence by the authors have led to the development of a soft sensor that can be used in this context [2]. A soft or virtual sensor is one that monitors features that may correlate with that wafer parameter, but only indirectly. The basic assumption made in the Poor Man s DRM methodology is that the resist develop rate depends only on the final deprotection extent and not on the processing path. Hence, the resist exposure and Post-exposure Bake (PEB) model parameters can be extracted from measurements of the resist develop rate across a matrix of processing conditions [3]. The thickness as a func- 1. Further author information - N.J. (correspondence): nickhil@eecs.berkeley.edu; WWW: Telephone: (510) ; Fax: (510)

2 tion of dose and development time is first converted to develop rate as a function of dose and depth into the resist R(E,z). Hence, exposure, PEB and develop rate model parameter extraction should in principle be possible from resist contrast curves. In this paper, a modified R(E,z) to R(m,z) converter is discussed. Resist absorbance and standing waves are modeled using a 1-d simulation for the exposure module. The phenomenon of deprotection induced thickness loss is discussed briefly for the indirect measurement of deprotection. Simulated Annealing, a global optimization routine has been used for the parameter extraction process. Although only a single temperature was used in this experiment, the use of multiple temperatures would allow for determination of the Arrhenius coefficient and the activation energy of both the acid amplification and acid loss terms. 2. MODIFIED R(E,z) TO R(m,z) CONVERTER 2.1 Exposure The conversion of applied dose to the effective dose coupled into the resist was done by modeling the resist absorbance and standing waves along the z-direction (into the resist). The exposure model is the one used by Byers, et.al. The z dependence of the exposure dose, using a simplified form of the full wave equation result, is given in Equation 1. Dose( z) = Dose( 0) e αz + r 2 e α( 2d z) 2 re αd cos πn( d z) λ (1) where the Dose(0) is the applied dose corrected by the reflectivity at the air-resist interface. α is the linear absorbance of the resist film, d is the film thickness, n is the real part of the refractive index, λ is the exposure wavelength and r is the reflectivity coefficient of the resist/substrate interface. While the conventional DRM provides develop rate at every depth into the resist, the same is not the case with the Poor Man s DRM method. This method makes develop rate measurements at various thicknesses within the resist which are not completely controlled by the experimenter. Hence, the integrated dose through depth into the resist needs to be computed and this is correlated to the develop rate during that interval of time. Equation 1 is used to compute the dose at every depth into the resist by discretizing the thickness of the resist. The dose is then integrated over the thickness of the resist that was developed during any given time interval in the experiment. Mathematica, a commercial mathematical integration package, was used for numerical integration of the dose as a function of depth. The standard exposure model for acid generated as a function of dose is [ Acid] dose = [ PAG] 0 ( 1 e C dose ) (2) where Acid dose is the concentration of acid at any given dose, PAG 0 is the initial concentration of the photoacid generator, C is the rate of photoacid formation and dose is the effective dose given by Equation 1.

3 2.2 Post-Exposure Bake During the deprotection step, the acid produced during exposure attacks the side chains of the polymer and generates more acid ions, thus making the resist even more soluble. This takes place in the presence of heat. In the quenching stage, the acid ions are slowly quenched by anything more basic than the acid, such as the additives and the by-products of the reaction. In short, the t-boc blocked polymer undergoes acidolysis to generate the soluble hydroxyl group in the presence of acid and heat [4]. The conventional modeling of the PEB process is given in Equation 3. m = 1 k amp k loss e e k loss t Aciddose (3) where m is the normalized concentration of unreacted blocking sites, k amp is the acid amplification factor and k loss is the acid loss factor. Both these factors are modeled using a temperature dependent Arrhenius relationship. The assumption made here is that the concentration gradient of acid in the film as a result of changing exposure conditions caused by internal interference of light and absorbance of light by the resist film during exposure, is close to zero. This assumption is not needed in the modified Poor Man s DRM technique. The reason is that in the original model, there existed only one method of conversion of dose to m and there was no scientific way to check the validity of this conversion. However, in this technique, we use a soft sensor to determine the normalized concentration of unreacted sites by an indirect measurement of the deprotection. A brief introduction to this sensor is given next and the reader is referred to [2] for a more complete description. During the deprotection step, the side chains are very volatile in many chemically amplified photoresists and evaporate during the bake, causing film shrinkage in the exposed areas [5]. The extent of this exposed photoresist thinning is dependent on the molecular weight of the blocking groups. The deprotection is quantitatively followed by monitoring the absorption or the lack of absorption at specific wavelengths which can be correlated to specific stretching and bending motions and, in some cases, with the relationship of these groups to the remainder of the molecule. Fourier Transform InfraRed (FTIR) spectroscopy is a powerful analytical tool for characterizing and identifying organic molecules. It has been shown that the thickness loss in the exposed areas is correlated to the deprotection of the photoresist at different temperatures and this phenomenon is named Deprotection Induced Thickness Loss (DITL). This study accounted for the thickness loss due to solvent evaporation. The results of the study for Shipley s UV-5 DUV resist have been used here in the procedure for the conversion of dose to m [FIGURE 1] [Equation 5]. Deprotection x is the concentration of reacted blocking sites and hence the relation between m and x is simply m = 1 x (4) The modified Poor Man s DRM method thus requires the measurement of the exposed area resist thickness loss after the PEB step as an additional measurement. This can then be used to calculate the deprotection of the resist using Equation 5.

4 Thickness Loss in Angstroms x11[, 3] Deprotectionx11[, ester 4] Summary of Fit: Multiple R 2 = Average model prediction error = on 24 degrees of freedom F-statistic: 5460 on 1 and 24 degrees of freedom FIGURE 1. Thickness loss as a function of the deprotection measured by monitoring the normalized ester absorbance Model Value Std. Error t value Pr(> t ) Slope The final model for thickness loss as a function of deprotection is T loss = D ester (5) The final link in the conversion of dose to m is the correlation of effective dose and exposed area resist thickness loss. Piecewise linear models for the resist loss versus the applied dose are built for the different regions of operation of the resist.

5 All the above steps are combined in the modified methodology. The applied dose is first converted into an effective dose using Equation 1. The thickness loss corresponding to the effective dose is computed using the piecewise linear models. This thickness loss is then converted into an equivalent deprotection figure using Equation 5. The deprotection is transformed into m using Equation 4. Hence, there is a one to one correspondence between the applied dose and m. This provides us with a more direct method to determine m. 2.3 DEVELOP The standard Mack develop rate model has been chosen to model the develop step [6]. It models the develop step using a surface limited development, dependent upon the extent of deprotection. ( a + 1) ( 1 m) n Rm ( ) = R max a + ( 1 m) n + R min ( n + 1) a = ( ( n 1) 1 m ) n th (6) (7) where R max is the maximum development rate, R min is the minimum development rate, m th is the value of m at the inflection point of the data, called the threshold PAC concentration, and n is the dissolution selectivity parameter, which controls the contrast of the photoresist. These are the 4 parameters in this model that need to be optimized. Due to the highly non-linear nature of Equation 6, traditional optimization techniques might get trapped at local solutions. To overcome this problem, we use Simulated Annealing (SA) which is a probabilistic optimization technique well suited to multi-modal, discrete, non-linear and nondifferentiable functions [7]. SA s main strength is its statistical guarantee of global minimization, even in the presence of many local minima. We also used a commercial traditional optimization package to compare the results. We observed that different starting values gave widely varying results. This is one of the biggest drawbacks in the conventional data analysis of the Poor Man s data which is overcome by using SA. 3. EXPERIMENT inch wafers were coated with Shipley UV-5 resist to a thickness of 7600 Angstroms and then soft-baked at 135 degrees Celsius. The resist thickness was measured at 33 sites across the wafer on a spectroscopic ellipsometer. The wafers were then exposed using 16 different exposure doses ranging from 0 to 3.2 mj/cm 2 in steps of 0.2 mj/cm 2 on a 248 nm stepper. These exposures were replicated across the wafer to reduce noise in the data. After exposure, the wafers were baked using the standard PEB conditions of 130 degrees Celsius for 90 seconds. The wafers were once again taken to a spectroscopic ellipsometer where they were measured for thickness in the exposed areas. This measurement yielded the DITL. The wafers were then developed using different develop times ranging from 7 seconds to 100 seconds. The minimum development time used on the wafer track was 7 seconds because this was the minimum time required for the developer to uniformly spread across the wafer. The wafers were once again measured for remaining resist thickness in the 33 sites. R min was measured on the one unexposed site per wafer. For shorter develop times, the development process was very non-uniform and hence produced very noisy data. To get reliable estimates for R max, a different approach was used. 4 high dose exposures were made in the center of a single wafer instead of 32 exposures distributed uniformly around the wafer. Due to the small, localized nature of the blanket exposures, the developer

6 spread uniformly over the region in less than 2 seconds. The develop step was stopped after 2 seconds. The remaining thickness was measured after the develop step to compute the develop rate. The reader is warned however, that the development mechanism in this case is more spray rather than puddle and hence does not accurately represent the same mechanism of development used in the other 10 wafers. 4. RESULTS AND DISCUSSION The Poor Man s DRM involves the measurement of multiple contrast curves i.e. resist thickness remaining as a function of exposure dose for open frame exposures at different development times. Once this is done, the algorithm mentioned in the previous section is used to convert the applied dose to m. The conversion of applied dose to effective dose was done using Equation 1. The DITL was then plotted versus this average effective dose and piecewise linear models were built using linear regression [FIGURE 2]. These different regions correspond to the different regions of deprotection. In region A, the effective dose has not yet passed the threshold for deprotection and hence the small slope. In region B, the resist is in its linear region of operation. In region C, the resist is in its saturation region of operation due to almost complete deprotection of its side chains. The thickness loss was obtained using these linear models. Equation Exposed Area Resist Thickness Loss (Angstroms) A B C Y = *X Y = *X Y = *X Effective Dose (mj/cm 2 ) FIGURE 2. Exposed area resist thickness loss versus the effective dose 5 was used to convert the thickness loss to deprotection and Equation 4 was used to convert the deprotection to m. This yielded the modified R(E,z) to R(m,z) converter. We also used the conventional R(E,z) to R(m,z) converter. It was

7 noticed that this involved a lot of iterations based on subjective decisions and hence the final R vs. m plots differed from person to person. However, the values of m and dose, obtained from the modified technique were then plugged into Equation 3 to get the exposure and PEB parameters. Unfortunately, in this experiment, we did not vary the PEB conditions and hence could not estimate the individual activation energies and Arrhenius coefficients of the acid loss and acid amplification factors. We plan on repeating this experiment at different PEB conditions and extracting this vital information for simulation engines such as Prolith [8]. This optimization problem [Equation 3] had 2 unknowns viz. k amp and k loss. The value of C was chosen as that given by the resist manufacturer. The optimization was done using SA and it yielded a value of for K amp and for k loss R max = 3540 A/s R Develop Rate (A/sec) R min = 6 A/s n = 8.97 m th = K amp = /s K loss = /s m Normalized concentration of unreacted sites FIGURE 3. Develop rate versus the normalized concentration of unreacted sites. Figure shows the fitting of the Mack develop model to the data The optimization to extract develop rate parameters was done using both a traditional optimization technique, as well as the modified Levenberg Marquardt (LM) and SA. Initial guesses for R max and R min in the LM technique were obtained from the experiment, while guesses for n and m th were made by looking at the inflection point and the slope of the R vs. m plot. Different starting values yielded different final results. In the case of SA, the algorithm was independent of starting guesses and it converged to the same results in every attempt. [FIGURE 3].

8 5. CONCLUSION In this paper, we presented a modified version of the experimentation and data analysis for the Poor Man s DRM to obtain critical simulation for DUV lithography modeling. We showed that the current method suffers from an unreliable R(E,z) to R(m,z) conversion method. This problem was overcome by measuring exposed area resist thickness loss after the PEB and using this to estimate the resist deprotection and hence get a more reliable estimate of m. The second problem was that the optimization techniques currently being used, suffer from getting stuck at local minima in the solution and hence result in erroneous estimates for the exposure, PEB and develop rate parameters. We overcame this problem by using a global optimizer. The next step is to repeat this experiment at different PEB conditions and estimate the PEB model parameters more in detail and use these results in Prolith simulations. 6. ACKNOWLEDGEMENTS This work was supported by the SRC under contract and the MICRO under contract REFERENCES [1] J. S. Petersen, et.al., Characterization and Modeling of a Positive Chemically Amplified Resist, SPIE vol.2438, pp , 1995 [2] N. Jakatdar, et. al., Characterization of a Positive Chemically Amplified Photoresist from the Viewpoint of Process Control for the Photolithography Sequence, SPIE [3] J. Byers, J. S. Petersen, J. Sturtevant, Calibration of Chemically Amplified Resist Models, SPIE vol.2724, pp , 1996 [4] H. Ito, C.G. Willson, Applications of Photoinitiators to the Design of Resists for Semiconductor Manufacturing, in Polymers in Electronics, ACS Symposium Series 242 (1984) pp [5] C. Mack, Inside Prolith - A Comprehensive Guide to Optical Lithography Simulation, February [6] C. A. Mack, Development of Positive Photoresist, Jour. Electrochemical Society, Vol.134, No.1, Jan 1987, pp [7] L. Ingber, Adaptive Simulated Annealing (ASA), ftp://alumni.caltech.edu/pub/ingber/, 1995 [8] FINLE Technologies, P.O. Box , Austin, TX 78716

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Optical Lithography Modelling with MATLAB

Optical Lithography Modelling with MATLAB Optical Lithography Modelling with MATLAB 2 Laboratory Manual to accompany Fundamental Principles of Optical Lithography, by Chris Mack 2 Optical Lithography Modelling with MATLAB Kevin Berwick Optical

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

In-Situ Monitoring of Photoresist Thickness Contour

In-Situ Monitoring of Photoresist Thickness Contour In-Situ Monitoring of Photoresist Thickness Contour Weng Khuen Ho, Xiaodong Wu and Arthur Tay Department of Electrical and Computer Engineering National University of Singapore,1192 Singapore elehowk@nus.edu.sg

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Session 1B Transparent Materials

Session 1B Transparent Materials Session 1B Transparent Materials Andrew Martin UPenn, February 2014 2014 J.A. Woollam Co., Inc. www.jawoollam.com 1 Overview Transparent substrates & films Cauchy equation Common complexities Evaluating,

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr. A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541 Abstract In the semiconductor manufacturing industry,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data!

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data! Can you tell me anything about this data! 1 In Semiconductor Manufacturing the Photolithography process steps are very critical to ensure proper circuit and device performance. Without good CD (critical

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions 1 CONTRIBUTING AUTHORS Robb Engle, Vice President of Engineering, Sono-Tek Corporation

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Modeling of Mask Thermal Distortion during Optical Lithography and Its Dependence on Pattern Density Distribution

Modeling of Mask Thermal Distortion during Optical Lithography and Its Dependence on Pattern Density Distribution Modeling of Mask Thermal Distortion during Optical Lithography and Its Dependence on Pattern Density Distribution M.S. Thesis Qiaolin Zhang Department of Electrical Engineering and Computer Science University

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography by Rui Guo A dissertation submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium

More information

University of Pennsylvania. Fabrication of micro-polarizer array with polymer thin film

University of Pennsylvania. Fabrication of micro-polarizer array with polymer thin film SUNFEST Technical Report TR-CST01DEC05, Center for Sensor Technologies, Dept of Electrical and Systems Eng, Univ. of Pennsylvania, Philadelphia, PA 2005 University of Pennsylvania SUNFEST NSF REU Program

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Classification of Hyperspectral Breast Images for Cancer Detection. Sander Parawira December 4, 2009

Classification of Hyperspectral Breast Images for Cancer Detection. Sander Parawira December 4, 2009 1 Introduction Classification of Hyperspectral Breast Images for Cancer Detection Sander Parawira December 4, 2009 parawira@stanford.edu In 2009 approximately one out of eight women has breast cancer.

More information

Centre for Digital Image Measurement and Analysis, School of Engineering, City University, Northampton Square, London, ECIV OHB

Centre for Digital Image Measurement and Analysis, School of Engineering, City University, Northampton Square, London, ECIV OHB HIGH ACCURACY 3-D MEASUREMENT USING MULTIPLE CAMERA VIEWS T.A. Clarke, T.J. Ellis, & S. Robson. High accuracy measurement of industrially produced objects is becoming increasingly important. The techniques

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Supporting Information

Supporting Information Supporting Information Min et al. 10.1073/pnas.1701092114 UV-Cross-Linking Silk Fibroin Using Stilbene Stilbene chromophore and its derivatives have been used as photoreactive building blocks or dopants

More information

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI MLI INTRODUCTION GUIDE Table of Contents MLI, the Company Introduction of MLI Why MLI MLI Test Equipments Pellicle Introduction Pellicle Film Transmission Pellicle Mounting Tool MLI Quality System 3 4

More information

Coping with Variability in Semiconductor Manufacturing

Coping with Variability in Semiconductor Manufacturing 1 Coping with Variability in Semiconductor Manufacturing Costas J. Spanos Berkeley Computer Aided Manufacturing Department of EECS University of California, Berkeley 12/6/04 2 The Traditional Semiconductor

More information

AP Physics Problems -- Waves and Light

AP Physics Problems -- Waves and Light AP Physics Problems -- Waves and Light 1. 1975-4 (Physical Optics) a. Light of a single wavelength is incident on a single slit of width w. (w is a few wavelengths.) Sketch a graph of the intensity as

More information

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry

Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Surface and thickness profile measurement of a transparent film by three-wavelength vertical scanning interferometry Katsuichi Kitagawa Toray Engineering Co. Ltd., 1-1-45 Oe, Otsu 50-141, Japan Corresponding

More information

Woollam M2000 Operation Manual

Woollam M2000 Operation Manual Woollam M2000 Operation Manual The Woollam M2000 is a spectroscopic ellipsometer used to characterize optically transparent films. The system has the Near IR upgrade that covers 700 wavelengths from 193nm

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Harris: Quantitative Chemical Analysis, Eight Edition CHAPTER 05: QUALITY ASSURANCE AND CALIBRATION METHODS

Harris: Quantitative Chemical Analysis, Eight Edition CHAPTER 05: QUALITY ASSURANCE AND CALIBRATION METHODS Harris: Quantitative Chemical Analysis, Eight Edition CHAPTER 05: QUALITY ASSURANCE AND CALIBRATION METHODS 5-0. International Measurement Evaluation Program Sample: Pb in river water (blind sample) :

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

Phys 1020, Day 18: Questions? Cameras, Blmfld Reminders: Next Up: digital cameras finish Optics Note Final Project proposals next week!

Phys 1020, Day 18: Questions? Cameras, Blmfld Reminders: Next Up: digital cameras finish Optics Note Final Project proposals next week! Lights. Action. Phys 1020, Day 18: Questions? Cameras, Blmfld 15.1 Reminders: Next Up: digital cameras finish Optics Note Final Project proposals next week! 1 What have we learned in this section: 1) Lasers

More information

UNIT I READING: GRAPHICAL METHODS

UNIT I READING: GRAPHICAL METHODS UNIT I READING: GRAPHICAL METHODS One of the most effective tools for the visual evaluation of data is a graph. The investigator is usually interested in a quantitative graph that shows the relationship

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2275-2280 ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S -As 2 Se PHOTORESISTS N. P. Eisenberg,

More information

UV / VIS SPECTROPHOTOMETER

UV / VIS SPECTROPHOTOMETER www.mapada.com.cn A Professional Manufacturer Shanghai Mapada Instruments Co., Ltd. Add: Building D-10, 261# Sanbang Rd., Songjiang Export Processing Zone,Shanghai, 201611 Tel: +86-21-5488 1172 +86-21-5488-0273

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

Dept of EECS and 2 Applied Physics Program (fax)

Dept of EECS and 2 Applied Physics Program (fax) Hsu-Ting Huang, Brooke Stutzman 2, Wei Kong, and Fred L. Terry, Jr.,2 Dept of EECS and 2 Applied Physics Program 734-763-9764 734-763-9324 (fax) fredty@umich.edu http://www.eecs.umich.edu/~fredty Motivation

More information

IMAGE DE-NOISING IN WAVELET DOMAIN

IMAGE DE-NOISING IN WAVELET DOMAIN IMAGE DE-NOISING IN WAVELET DOMAIN Aaditya Verma a, Shrey Agarwal a a Department of Civil Engineering, Indian Institute of Technology, Kanpur, India - (aaditya, ashrey)@iitk.ac.in KEY WORDS: Wavelets,

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP Page 1 of 14 Ellipsometer SOP The J. A. Woollam M88 is a spectroscopic ellipsometer used to measure film thickness and optical constants of transparent/semi-transparent thin films. It uses a Xenon arc

More information

Minimizes reflection losses from UV - IR; Optional AR coatings & wedge windows are available.

Minimizes reflection losses from UV - IR; Optional AR coatings & wedge windows are available. Now Powered by LightField PyLoN:100 1340 x 100 The PyLoN :100 is a controllerless, cryogenically-cooled CCD camera designed for quantitative scientific spectroscopy applications demanding the highest possible

More information

1. Deployment of a framework for drawing a correspondence between simple figure of merits (FOM) and quantitative imaging performance in CT.

1. Deployment of a framework for drawing a correspondence between simple figure of merits (FOM) and quantitative imaging performance in CT. Progress report: Development of assessment and predictive metrics for quantitative imaging in chest CT Subaward No: HHSN6801000050C (4a) PI: Ehsan Samei Reporting Period: month 1-18 Deliverables: 1. Deployment

More information

Thick capacitive meshes on polyimide substrates

Thick capacitive meshes on polyimide substrates Infrared Physics & Technology 45 (2004) 153 157 www.elsevier.com/locate/infrared Thick capacitive meshes on polyimide substrates Arne L uker a, Oren Sternberg b, Herbert Hein c, Joachim Schulz c, *, Karl-Dieter

More information

Wavelength scanning interferometry for measuring transparent films of the fusion targets

Wavelength scanning interferometry for measuring transparent films of the fusion targets Wavelength scanning interferometry for measuring transparent films of the fusion targets F. Gao *, X. Jiang, H. Muhamedsalih and H. Martin Centre for precision Technologies, University of Huddersfield,

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

CODE Analysis, design, production control of thin films

CODE Analysis, design, production control of thin films M.Theiss Hard- and Software for Optical Spectroscopy Dr.-Bernhard-Klein-Str. 110, D-52078 Aachen Phone: (49) 241 5661390 Fax: (49) 241 9529100 E-mail: theiss@mtheiss.com Web: www.mtheiss.com CODE Analysis,

More information

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS U.P.B. Sci. Bull., Series A, Vol. 77, Iss. 3, 2015 ISSN 1223-7027 NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS Bogdan Stefaniţă CALIN 1, Liliana PREDA 2 We have successfully designed a

More information

Agilent Cary Universal Measurement Spectrophotometer (UMS)

Agilent Cary Universal Measurement Spectrophotometer (UMS) Agilent Cary Universal Measurement Spectrophotometer (UMS) See what you ve been missing Date: 13 th May 2013 TRAVIS BURT UV-VIS-NIR PRODUCT MANAGER AGILENT TECHNOLOGIES 1 Agenda Introducing the Cary 7000

More information

Unit I Reading Graphical Methods

Unit I Reading Graphical Methods Unit I Reading Graphical Methods One of the most effective tools for the visual evaluation of data is a graph. The investigator is usually interested in a quantitative graph that shows the relationship

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

ksa 400 Growth Rate Analysis Routines

ksa 400 Growth Rate Analysis Routines k-space Associates, Inc., 2182 Bishop Circle East, Dexter, MI 48130 USA ksa 400 Growth Rate Analysis Routines Table of Contents ksa 400 Growth Rate Analysis Routines... 2 1. Introduction... 2 1.1. Scan

More information

Line Pattern Collapse

Line Pattern Collapse Line Pattern Collapse Modeling and Prediction in Semiconductor Processing Derek Bassett a, Michael Carcasi a, Wallace Printz a, Shinichiro Kawakami b, Yuichiro Miyata c a Tokyo Electron America, 2400 Grove

More information

Adrián Álvarez, Miguel A. Pérez I. INTRODUCTION

Adrián Álvarez, Miguel A. Pérez I. INTRODUCTION 13th IMEKO TC10 Workshop on Technical Diagnostics Advanced measurement tools in technical diagnostics for systems' reliability and safety June 26-27, 2014, Warsaw, Poland LOW-COST DEVELOPMENT AND TESTING

More information

Challenges in high NA, polarization, and photoresists

Challenges in high NA, polarization, and photoresists Challenges in high NA, polarization, and photoresists Bruce W. Smith *a, Julian Cashmore **b a Rochester Institute of Technology, Microelectronic Engineering Dept., Rochester, NY b Exitech Limited, Oxford

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Inside PROLITH. A Comprehensive Guide to Optical Lithography Simulation. For the PROLITH Family of Lithography Simulation Tools, v5.

Inside PROLITH. A Comprehensive Guide to Optical Lithography Simulation. For the PROLITH Family of Lithography Simulation Tools, v5. Inside PROLITH A Comprehensive Guide to Optical Lithography Simulation For the PROLITH Family of Lithography Simulation Tools, v5.0 Chris A. Mack FINLE Technologies, Inc. Austin, Texas Published by FINLE

More information

Introduction. In-Situ Metrology for Veeco k465i GaN MOCVD WHAT BLUE BANDIT PROVIDES IN REAL-TIME: k-space Associates, Inc.

Introduction. In-Situ Metrology for Veeco k465i GaN MOCVD WHAT BLUE BANDIT PROVIDES IN REAL-TIME: k-space Associates, Inc. O C T O B E R 2 0 1 2 k-space Associates, Inc. WHAT BLUE BANDIT PROVIDES IN REAL-TIME: Direct, True GaN Film Temperature During InGaN MQW Growth Direct, Auto- Calibrated Wafer Carrier and Wafer Pocket

More information

GG450 4/5/2010. Today s material comes from p and in the text book. Please read and understand all of this material!

GG450 4/5/2010. Today s material comes from p and in the text book. Please read and understand all of this material! GG450 April 6, 2010 Seismic Reflection I Today s material comes from p. 32-33 and 81-116 in the text book. Please read and understand all of this material! Back to seismic waves Last week we talked about

More information

Optical Design with Zemax

Optical Design with Zemax Optical Design with Zemax Lecture 7: Optimization I 2012-12-11 Herbert Gross Winter term 2012 www.iap.uni-jena.de Time schedule 2 1 16.10. Introduction Introduction, Zemax interface, menues, file handling,

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Comparisonofsimulatedandexperimental

Comparisonofsimulatedandexperimental LITHOGRAPHY Comparisonofsimulatedandexperimental CD-limitedyieldforasubmicroni-lineprocess Edward W. Charrier, Chris A. Mack, FINLETechnologies Inc.,Austin, Texas, Christopher J. Progler, SGS-ThomsonMicroelectronics,

More information

3. Using TFCompanion. 3.1 Filmstack. Introduction Layer types and properties

3. Using TFCompanion. 3.1 Filmstack. Introduction Layer types and properties 3. Using TFCompanion. 3.1 Filmstack. Introduction. Filmstack is an optical model of the sample that is measured - it consists of a substrate, collection of layers and an ambient. There is no limitation

More information

S800 Spectrawave Visible Diode Array Spectrophotometer

S800 Spectrawave Visible Diode Array Spectrophotometer 6 SCANNING VISIBLE INSTRUMENT FOR EDUCATION OPTIMISED FOR THE TEACHING LABORATORY S800 Spectrawave Visible Diode Array Spectrophotometer ABSORBANCE, % TRANSMISSION, CONCENTRATION AND KINETICS LARGE, EASY

More information

Advanced Lens Design

Advanced Lens Design Advanced Lens Design Lecture 3: Optimization II 2013-10-29 Herbert Gross Winter term 2013 www.iap.uni-jena.de 2 Preliminary Schedule 1 15.10. Introduction Paraxial optics, ideal lenses, optical systems,

More information

Version 6. User Manual SEMI

Version 6. User Manual SEMI Version 6 User Manual SEMI 005 BRUKER OPTIK GmbH, Rudolf Plank Str. 7, D-7675 Ettlingen, www.brukeroptics.com All rights reserved. No part of this manual may be reproduced or transmitted in any form or

More information

Using Adjustable Slits to Reduce Interfering Element Effects

Using Adjustable Slits to Reduce Interfering Element Effects Prodigy ICP Technical Note Using Adjustable Slits to Reduce Interfering Element Effects INTRODUCTION The Inductively Coupled Plasma (ICP) is one of the most widely used emission sources for routine trace

More information

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction Devices & Services Company 10290 Monroe Drive, Suite 202 - Dallas, Texas 75229 USA - Tel. 214-902-8337 - Fax 214-902-8303 Web: www.devicesandservices.com Email: sales@devicesandservices.com D&S Technical

More information

DEVELOPMENT OF NEURAL NETWORK TRAINING METHODOLOGY FOR MODELING NONLINEAR SYSTEMS WITH APPLICATION TO THE PREDICTION OF THE REFRACTIVE INDEX

DEVELOPMENT OF NEURAL NETWORK TRAINING METHODOLOGY FOR MODELING NONLINEAR SYSTEMS WITH APPLICATION TO THE PREDICTION OF THE REFRACTIVE INDEX DEVELOPMENT OF NEURAL NETWORK TRAINING METHODOLOGY FOR MODELING NONLINEAR SYSTEMS WITH APPLICATION TO THE PREDICTION OF THE REFRACTIVE INDEX THESIS CHONDRODIMA EVANGELIA Supervisor: Dr. Alex Alexandridis,

More information

Transducers and Transducer Calibration GENERAL MEASUREMENT SYSTEM

Transducers and Transducer Calibration GENERAL MEASUREMENT SYSTEM Transducers and Transducer Calibration Abstracted from: Figliola, R.S. and Beasley, D. S., 1991, Theory and Design for Mechanical Measurements GENERAL MEASUREMENT SYSTEM Assigning a specific value to a

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Technical keys to understand 3D-printing. Lucile BONHOURE. Sartomer, Arkema

Technical keys to understand 3D-printing. Lucile BONHOURE. Sartomer, Arkema RTE Conference & Exhibition 2017 Technical keys to understand 3D-printing Lucile BONHOURE Sartomer, Arkema Prague, October 19th, 2017 Agenda Introduction to 3D-Printing, stakes and technical challenges

More information

SIMULAITON OF CONTRAST ENHANCED LITHOGRAPHY. Richard A. Ferguson. Memorandum No. UCB/ERL M87/ June 1987

SIMULAITON OF CONTRAST ENHANCED LITHOGRAPHY. Richard A. Ferguson. Memorandum No. UCB/ERL M87/ June 1987 SIMULAITON OF CONTRAST ENHANCED LITHOGRAPHY by Richard A. Ferguson Memorandum No. UCB/ERL M87/42 16 June 1987 SIMULATION OF CONTRAST ENHANCED LITHOGRAPHY by Richard A. Ferguson Memorandum No. UCBERL M87/42

More information

Broadband Interferometry - a non-contact optical method for measuring the thickness of transparent thin films and coatings

Broadband Interferometry - a non-contact optical method for measuring the thickness of transparent thin films and coatings Broadband Interferometry - a non-contact optical method for measuring the thickness of transparent thin films and coatings Ian Bain Scalar Technologies Ltd 9 Cochrane Square Livingston EH54 9DR United

More information

In-situ metrology for pad surface monitoring in CMP

In-situ metrology for pad surface monitoring in CMP Application note In-situ metrology for pad surface monitoring in CMP The CMP process Chemical Mechanical Planarization (CMP) is one of the most critical processes in the semiconductor, hard disk and LED

More information

Vat Photopolymerization

Vat Photopolymerization Kon-15.4126 Production Technology, Special Topics Vat Photopolymerization Pekka Lehtinen pekka.a.lehtinen@aalto.fi Content Vat photopolymerization Photopolymerization Stereolithography Part fabrication

More information

Laboratory 6: Light and the Laser

Laboratory 6: Light and the Laser Laboratory 6: Light and the Laser WARNING NEVER LOOK DIRECTLY AT LASER LIGHT Index of Refraction: Snell's Law 1. Read the section on physical optics in some introductory physics text. 2. Set the semicircular

More information

AP* Optics Free Response Questions

AP* Optics Free Response Questions AP* Optics Free Response Questions 1978 Q5 MIRRORS An object 6 centimeters high is placed 30 centimeters from a concave mirror of focal length 10 centimeters as shown above. (a) On the diagram above, locate

More information

UV-6 Series Double Beam UV/Vis Spectrophotometers

UV-6 Series Double Beam UV/Vis Spectrophotometers UV-6 Series Double Beam UV/Vis Spectrophotometers Model UV-6100 UV-6100PC UV-6300 UV-6300PC avelength Range 190-1100nm 190-1100nm Spectral Bandwidth 1.8nm 1.8nm 1.0nm 1.0nm Optical System avelength Accuracy

More information

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans Advanced modelling of gratings in VirtualLab software Site Zhang, development engineer Lignt Trans 1 2 3 4 Content Grating Order Analyzer Rigorous Simulation of Holographic Generated Volume Grating Coupled

More information

Solar Radiation Data Modeling with a Novel Surface Fitting Approach

Solar Radiation Data Modeling with a Novel Surface Fitting Approach Solar Radiation Data Modeling with a Novel Surface Fitting Approach F. Onur Hocao glu, Ömer Nezih Gerek, Mehmet Kurban Anadolu University, Dept. of Electrical and Electronics Eng., Eskisehir, Turkey {fohocaoglu,ongerek,mkurban}

More information

ProEM -HS:1024BX3 FEATURES BENEFITS

ProEM -HS:1024BX3 FEATURES BENEFITS The ProEM-HS: 124BX3 is the most advanced EMCCD camera on the market utilizing the latest low-noise readout electronics and a 124 x 124 EMCCD. This camera delivers single photon sensitivity and the best

More information

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager

FloEFD 16 What s New. Alexey Kharitonovich Product Manager. Tatiana Trebunskikh Product Manager FloEFD 16 What s New Alexey Kharitonovich Product Manager Tatiana Trebunskikh Product Manager FloEFD 16 Enhancements Phase Change for Refrigerants Flows of refrigerants with liquid to gas (cavitation/boiling)

More information

Technical Report of ISO/IEC Test Program of the M-DISC Archival DVD Media June, 2013

Technical Report of ISO/IEC Test Program of the M-DISC Archival DVD Media June, 2013 Technical Report of ISO/IEC 10995 Test Program of the M-DISC Archival DVD Media June, 2013 With the introduction of the M-DISC family of inorganic optical media, Traxdata set the standard for permanent

More information