TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT

Size: px
Start display at page:

Download "TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT"

Transcription

1 TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT TONY LAUNDRIE IC DESIGN ENGINEER P.O. BOX 4000 CHIPPEWA FALLS, WI atl@sgi.com INTERNATIONAL CADENCE USER GROUP CONFERENCE SEPTEMBER 12-16, 1999 ORLANDO, FLORIDA

2 1. Introduction A recent SGI project involved laying out dozens of custom standard cells. To simplify building the cell library, Cadence IC Craftsman was considered for automatic transistor-level routing within each cell. The IC fab technology chosen for the project has a local interconnect or METAL-0 layer which can be drawn to connect diffusion and polysilicon shapes without the need for additional contacts or METAL-1. As a result, most of the routing in a cell is done on METAL-0 and POLY, leaving METAL-1 free except for cell I/O pins. Most transistors in our standard cells are relatively large and often consist of multiple devices wired in parallel. IC Craftsman typically expects transistor devices to have metal pins, and any parallel source/drain pieces are expected to be connected ahead of time. This would have been too restrictive for our cells, causing unnecessary blockages. Our goal was to let the router decide for itself where to place contacts along wide transistors, and how to connect parallel pieces in order to minimize the amount of METAL-1 wiring. We encountered some difficulties along the way, especially concerning the mixture of strong connect and must connect pin shapes on a single device, but eventually we got IC Craftsman close to our goal. This paper describes how we set up our various parameterized cells and contacts in Virtuoso, and our rule deck and initial icc.do file in IC Craftsman to accomplish the task of routing with a local interconnect layer. 2. Technology A simplified cross-section of the technology looks like this: MET1 MET1 SIDE VIEW CONT CONT CONT POLY SUBSTRATE When (metal 0) touches (diffusion) or POLY (polysilicon), a connection is made. CONT (contact) shapes are used to connect MET1 down to. A transistor is formed when POLY crosses. is not allowed over transistors. CONT shapes are a fixed square size. must be a path with a fixed width. The connection from a path to a POLY or shape can be made in a variety of legal ways. If there is plenty of overlap, a smaller common area is needed than if there is no overlap, as seen above and below. W W TOP VIEW Y Y X X The legal connections are defined by a table of allowable combinations of the dimensions X, Y, and W shown above. Writing DRC (Design Rule Check) rules for all these combinations is challenging. IC Craftsman provides a pseudo-contact ability to recognize connections involving a local interconnect, but it is not able to generate connections like this during automatic routing by merely overlapping paths. IC Craftsman must be given a set of predrawn contacts. Instead of drawing numerous combinations of all the XYW possibilities, we found that IC Craftsman was less confused and produced better results when given a limited subset. The contacts we used with IC Craftsman for connections are shown below. For IC Craftsman alone, it is

3 sufficient to simply draw layout views of these contacts. If these contacts were needed as symbolic views for some other Cadence tool, they could also be defined in the rulecontactdevice() section of a technology file, using any unused Cadence layer for the middle connecting layer. For both the _ and POLY_ contact, two identically-sized rectangles were drawn, overlapping each other. The dimensions were chosen to be the minimum _ POLY MET1 M0 underneath exactly M0 POLY underneath exactly CONT M1 sizes that satisfy most DRC rules. The exception is that the minimum area requirement of each layer is not necessarily satisfied by each contact. This is usually no problem after the contacts are connected to other shapes. In the few places where DRC showed minimum area violations after running IC Craftsman, it was painless in our cells to extend the contact shapes within Virtuoso to remove the errors. IC Craftsman is able to rotate contacts, so it is not necessary to define both vertical and horizontal orientations. An additional style of contact that might be suitable to define in other local interconnect technologies are ones with a plus shape, like the one below. This kind of symbolic contact works OK for Virtuoso path stitching, and the overall height can be made less than the corresponding rectangular contact, but IC Craftsman wasn t able to use this type of contact in our cells effectively. 3. Example A schematic for a typical NAND2 cell is shown below on the left. The initial layout is shown on the right. The VDD! M0 B A Z A N1 VDD CELLBOX height = 1 width = 5 GND GND! schematic includes a device called CELLBOX, which is used in the layout view to provide a standard cell frame around the final design, and to establish connectivity between the CELLBOX s MET1/ power bus pins and the VDD/GND nets inside the cell. The dashed squares inside the CELLBOX are used to visually align I/O pins on the routing grid.

4 The transistors and the CELLBOX are instances of Pcells (parameterized cells). For the CELLBOX, the height parameter indicates the number of standard cell rows and the width is the number of routing tracks. The initial layout could have been created with Cadence DLE, but we use Skill routines instead. One of the functions of our initializing routine is to automatically set the number of parallel fingers for wide transistors so that they fit within the predefined NWELL and PWELL regions of the CELLBOX. In this example, the larger transistors have two fingers each. By default, the separate gates and source/drain regions of multi-finger transistors are not connected together with shapes in the Pcell Skill code. As can be seen in the layout, the transistor Pcells draw shapes on short devices, but not on long ones. The technology rulebook advises users not to run along the full width of wide transistors, as it decreases yield and is unnecessary, so is drawn only on the smaller transistors. A parameter can turn off the where necessary, like when abutting separate transistors, but is drawn by default because the somewhat complicated XYW DRC rules come into play, and it is easier for most layout designers and IC Craftsman to have those connection rules satisfied automatically. Before showing the steps involved in sending the layout to IC Craftsman, a manually-routed layout of the complete cell is shown below. The first step is to place the transistor devices in the cellbox, using previous experience and MANUAL PLACEMENT MANUAL ROUTING DLE guides or other netlist flight lines if desired. Next, POLY and paths are drawn to connect the devices. Notice how the only connects to the ends of the wide transistors, using the - contact defined earlier. Finally, MET1 I/O pins are added on the routing grid. 4. More Pcell Details A simple transistor Pcell contains three terminals named G, S, and D. POLY shapes are connected to the G net with dbcreatepin(). One large shape is drawn underneath the whole device, not attached to any pin. D D G Separate smaller shapes are drawn over each source or drain region and tied to the appropriate terminal. Short devices also include shapes like the ones above. The pins are separated from the POLY pins by S S

5 the minimum DRC separation. The Cadence drawing purpose is used for each shape in the Pcell. To keep IC Craftsman from thinking that the D and S pins are connected by the underlying nonpin, the FULL_CONNECTIVITY option on the IC Craftsman export form should be turned off and the CONDUCTOR DEPTH set to zero. Alternatively, different Cadence layers or purposes could have been used for pin vs. nonpin diffusion shapes. When making a transistor with multiple fingers, our first attempt just defined more S and D shapes, electrically (but not physically) tied to one of the existing S and D nets. For wide transistors with no, the MUSTJOIN option on the IC Craftsman export menu properly instructed ICC to make sure the separate gate, source, and drain shapes got physically wired together during routing. However, with short transistors that had included on the device, the MUSTJOIN option made ICC add extra wiring and contacts to connect the and pin at the same location to each other, creating an undesirable mess and DRC errors. We basically wanted to tell ICC that some pins on a device are STRONG_CONNECTS (the and pins at the same location), but that these strongly connected pin groups should be considered MUST_CONNECTS to each other. A document on SourceLink called Implementing External Connections (Must Connects) in Parameterized Cells was followed to create nets and subnets in the transistor Pcells. Unfortunately, the Cadenceto-ICC translator did not pay attention the pin groupings. Although the latest version of ICC might allow for different kinds of pin groups like this, in the end our multiple-finger transistors are drawn having independent terminals for each source/drain region: D S D1 S1 D2 G G1 G2 G3 Just before exporting a design to IC Craftsman, a short piece of Skill code processes the layout s underlying netlist to connect the net on the D pin to any other Dn pins (D1, D2, etc.). The same is done for S and G pins. The transistor Pcells have a FLIP parameter that changes all S-pins to D-pins and vice-versa. A transistor with an odd number of fingers can simply be mirrored to do this, but with a device having an even number of fingers like the one above, the FLIP parameter is needed if a layout designer wants to swap the location of the source/drain regions to simplify routing or reduce parasitics. 5. Exporting to ICC The CDS-to-ICC translator menu provided by Cadence converts a layout design to ICC format and starts the IC Craftsman program. The ICC translator form refers to an icc.do file. For us, it looks something like this: grid wire ROUTING_PITCH MET1 (direction x) (offset 0.0) grid wire ROUTING_PITCH MET1 (direction y) (offset 0.0) cost layer MET1 high (type way) cost layer MET1 high (type length) cost layer CONT high (type length) cost layer CONT high (type way) cost layer free (type way) cost layer free (type length) cost layer POLY low (type way) cost layer POLY low (type length) cost layer forbidden (type length) cost layer forbidden (type way) unselect all vias select via _ POLY MET1 This sets up the routing grid so that any MET1 added by ICC tends to go on grid. The layer is needed to establish connectivity to transistor devices, but its cost is set to forbidden so no actual routing is done with it. Another file referenced by the ICC translator form is icc.rules, which contains most of the required DRC rules. This

6 file was initially created with the Cadence Rules Editor GUI interface, but editing the ASCII file with a text editor is easier for tweaking. It is shown here: ; DFII-IC Craftsman Translation Rules 1.0 ; Title: icc.rules ; Technology File: proj_tech ; Creator: DFII-IC Craftsman Rules Editor ; Creation Date: Aug 21 14:46: ; From: layoutplus version Fri Jun 12 17:50:03 PDT 1998 (cds10067) ; User: atl iccrevision = 1.0 icctechnologyfile = proj_tech icclayers = list( list( ( MET1 drawing ) none horizontal WIDTH SEP nil t) list( ( CONT drawing ) cut off nil t) list( ( drawing ) local_interconnect orthogonal WIDTH SEP nil t) list( ( POLY drawing ) polysilicon orthogonal WIDTH SEP nil t) list( ( drawing ) n_diffusion orthogonal WIDTH SEP nil t) ) iccvias = list( list( ( proj_tech _ layout ) t) list( ( proj_tech POLY_ layout ) t) list( ( proj_tech _MET1 layout ) t) list( P list( ( drawing ) ( drawing )) nil) list( POLY P list( ( POLY drawing ) ( drawing )) nil) ) iccequivalentlayers = list() iccboundarylayers = list( list( ( MET1 drawing ) ( prboundary drawing ) SEP/2) list( ( CONT drawing ) ( prboundary drawing ) SEP/2) list( ( drawing ) ( prboundary drawing ) SEP/2) list( ( POLY drawing ) ( prboundary drawing ) SEP/2) list( ( drawing ) ( prboundary drawing ) SEP/2) ) icckeepouts = list( list(nil list())) The export form is then filled out as shown below. On the right are lines that can be placed in a.cdsenv file to automatically fill in these form values by default. ; cdsenv Variables to fill out ICC Export Form: ; icctranslator iccdirectory string /icc/4.0/tools/ iccraft/bin icctranslator exportdirectory string./icc_work icctranslator.exporteditor starticc boolean t icctranslator.exporteditor netlistfile string icctranslator.exporteditor netlistlibrary string icctranslator.exporteditor pinconnection string strong icctranslator.exporteditor interlayer boolean t icctranslator.exporteditor netlistsource string layoutcellview icctranslator.exporteditor usealternateviews boolean nil icctranslator.exporteditor netlistcell string icctranslator.exporteditor rulesfile string icc.rules icctranslator.exporteditor conductordepth int 0 icctranslator.exporteditor fullconnectivity boolean nil icctranslator.exporteditor userulesfile boolean t icctranslator.exporteditor netlistview string icctranslator.exporteditor iccoptions string -do icc.do icctranslator.exporteditor alternateviews string icctranslator.exporteditor cuttoedge boolean nil icctranslator.exporteditor keepoutdepth int 20

7 Interlayer rules are needed to keep, POLY, and separated from each other. These should be present in a Cadence technology file under physicalrules(spacingrules()). The ICC translator converts them to ICC format and merges them in the ICC working directory s design.str file. The interlayer clearance rules confuse ICC around contacts involving local interconnect, making manual routing within ICC impossible without turning off rule checking. However, automatic routing is still possible; just ignore the false error markers in the ICC tool. 6. Running ICC The picture on the left below is what appears in the IC Craftsman window after a design is successfully converted and the ICC application starts. It is apparent here that the underlying of the transistors is not present. The picture in the middle is the result of running route 5 in ICC, and the picture on the right is the result of running clean 5 after that. The final drawing might be considered clean enough to export back into Cadence. It was puzzling that for connections to VDD and GND, ICC chose to use _MET1 contacts instead of routing straight up or down to the horizontal busses, even though the MET1 routing cost was set to high. More tweaking of various routing options and costs could probably prevent this. To see if ICC could do better, route 25 was run next. The result is shown at the top of the next page on the left. This routing looked worse; more is not always better. It was decided that the internal N1 net should be routed without using any MET1. A blockage was drawn in the lower right corner to keep ICC from blocking access to the center of that lower right transistor. The result of routing with that blockage in place is shown at the top of the next page in the center. It appeared that the layout still used more MET1 than desired, so to force ICC to use less MET1, blockages were drawn on the MET1 layer at the top and bottom of the cell.

8 Routing and cleaning again resulted in the layout shown on the right below: Satisfied with this result, ICC was finally exited. After a few moments, the initial unrouted layout was replaced in Cadence with the drawing shown on the left below. MET1 pins and text were added, and some routes were cleaned up to create the final Cadence drawing shown on the right.

9 7. Summary This paper explained one way to define contacts, parameterized cells, and technology files to make IC Craftsman successfully route using a local interconnect. Other technologies or standard cell methodologies may have similar solutions. The local interconnect abilities of IC Craftsman could use further development, but considering that ICC evolved from a printed circuit board product, this is understandable. At this time, hand routing and polygon pushing by an experienced layout designer will no doubt produce smaller layouts for a local interconnect technology than any automatic solution. However, when a layout is in the early relative placement stage, automatic routing with IC Craftsman can be a powerful tool to let a designer quickly explore the routability of various placement options before choosing and compacting the final layout. As Cadence works to integrate ICC and Virtuoso into a more consistent and seamless user interface, adding features such as automatic placement and automatic Pcell configuration, the layout designer s task should become less tedious and overall productivity should increase. Thanks to SGI circuits and ECAD teams, application engineers at Cadence, members of the cct_ug_icc mailing list, and posters to the comp.cad.cadence newsgroup for their help with this issue. Color PDF versions of this document and presentation slides are available at Summarized notes from a Cadence R&D employee, September, 1999: Pseudo-contacts are generated and removed by the DFII translator; they are not part of IC Craftsman, which needs contacts to recognize connections. The Sourcelink document titled Implementing External Connections (Must Connects) in Parameterized Cells is wrong. There is supposedly a way to define Complex Pin Models so that the translator can get that information from CBDA, but there is no clear user documentation for it yet. Use tax commands instead of cost commands. Try this icc.do file: grid wire ROUTING_PITCH MET1 (direction x) (offset 0.0) grid wire ROUTING_PITCH MET1 (direction y) (offset 0.0) tax layer MET1 9 tax layer POLY 2 tax way 7 unselect layer change escape_distance 0 (layer ) rule layer (single_via_on_pin on) rule ic (via_on_pin on (grid off) (fit on)) rule ic (rotate_via on) To avoid false error markers, put interlayer rules in the icc.do file instead of translating them from the technology file, and try setting same-net rules, too.

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN ACCELERATING CHIP-LEVEL ROUTING AND DESIGN Johnny Premkumar National Semiconductor Corporation Johnny.Premkumar@nsc.com September 2005 ABSTRACT This paper focuses on optimizing and improving time to market

More information

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Digital VLSI Chip Design CAD manual addendum When you re drawing layout, especially of a complex cell, it s sometimes hard to see exactly what your

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS Technology Developed by Ted Obuchowicz VLSI/CAD Specialist, Dept. of Electrical and Computer Engineering Concordia

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

CMOS INVERTER LAYOUT TUTORIAL

CMOS INVERTER LAYOUT TUTORIAL PRINCESS SUMAYA UNIVERSITY FOR TECHNOLOGY CMOS INVERTER LAYOUT TUTORIAL We will start the inverter by drawing a PMOS. The first step is to draw a poly layer. Click on draw a rectangle and choose the poly

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules. Page 1 of 5 CADENCE TUTORIAL Creating Layout of an inverter: click on File->library->new a Create Library form appears, fill it as follows: in the name field enter: inverter select "Attach to existing

More information

DRC and LVS checks using Cadence Virtuoso Version 2.0

DRC and LVS checks using Cadence Virtuoso Version 2.0 DRC and LVS checks using Cadence Virtuoso Version 2.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 8 Design Rules Adib Abrishamifar EE Department IUST Contents Design Rules CMOS Process Layers Intra-Layer Design Rules Via s and Contacts Select Layer Example Cell

More information

Art of Layout Euler s path and stick diagram. Kunal Ghosh

Art of Layout Euler s path and stick diagram. Kunal Ghosh Art of Layout Euler s path and stick diagram Kunal Ghosh I wrote about Euler s path and stick diagram in two different blogs, but now is the time to show you how are they connected. It s simple and, seems,

More information

c 2011 Yun Wei Chang

c 2011 Yun Wei Chang c 2011 Yun Wei Chang SINGLE-LAYER BUS ROUTING FOR HIGH-SPEED BOARDS BY YUN WEI CHANG THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and Computer

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Creating a PCB Design with OrCAD PCB Editor

Creating a PCB Design with OrCAD PCB Editor Creating a PCB Design with OrCAD PCB Editor This guide is focused on learning how to create a PCB (Printed Circuit board) design. The guide will make use of the PCB Flow menu that is part of this workshop

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

VLSI Design Automation Final Project Due: June 26 th, Project: A Router

VLSI Design Automation Final Project Due: June 26 th, Project: A Router Project: A Router In lecture, we described how to use the maze routing method to route the wires in a large ASIC, using a 3-dimensional stack of routing grids. In this project assignment, you get to build

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: October 10, 2011 Due: October 19, 2011, 4PM Reading: Rabaey Insert

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

Magic Tutorial #2: Basic Painting and Selection

Magic Tutorial #2: Basic Painting and Selection Magic Tutorial #2: Basic Painting and Selection John Ousterhout Computer Science Division Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 (Updated by others, too.)

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

University of California, Davis College of Engineering Department of Electrical and Computer Engineering. EEC118 EXPERIMENT No.

University of California, Davis College of Engineering Department of Electrical and Computer Engineering. EEC118 EXPERIMENT No. I. OBJECTIVE University of California, Davis College of Engineering Department of Electrical and Computer Engineering EEC118 EXPERIMENT No. 1 MAGIC TUTORIAL The objective of this experiment is to learn

More information

Lesson 5: Creating Heterogeneous Parts

Lesson 5: Creating Heterogeneous Parts Lesson 5: Creating Heterogeneous Parts Lesson Objectives After you complete this lesson you will be able to: Create a Heterogeneous part Annotate a Heterogeneous part (Optional) Heterogeneous Parts A heterogeneous

More information

CSC 258 lab notes, Fall 2003

CSC 258 lab notes, Fall 2003 CSC 258 lab notes, Fall 2003 Instructor: E. R. C. Hehner Lab demonstrators: Nicolas Kokkalis, Andrés Lagar Cavilla Successful completion of the three graded labs in this course involves a significant amount

More information

Malikarjun Avula, Emil Jovanov Electrical and Computer Engineering Department University of Alabama in Huntsville CPE 495 September 03, 2009

Malikarjun Avula, Emil Jovanov Electrical and Computer Engineering Department University of Alabama in Huntsville CPE 495 September 03, 2009 Malikarjun Avula, Emil Jovanov Electrical and Computer Engineering Department University of Alabama in Huntsville CPE 495 September 03, 2009 Agenda PCB Design Process General Guidelines Express SCH Getting

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first.

These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first. Pulsonix Change Notes These notes list the main functional changes and problem fixes in each release of the software. They are listed in order, latest first. Version 3.1 Build 2273 : 18 Jul 2005 None.

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Microwave Office Training

Microwave Office Training Microwave Office Training Schematic Layout Schematic Layout Schematic View Circuit We are Here! Schematic Layout View External World Need Export Mapping Two views of the same circuit! - including connectivity

More information

Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design

Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design Harris Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction.

More information

Magic Technology Manual #1: NMOS

Magic Technology Manual #1: NMOS Magic Technology Manual #1: NMOS John Ousterhout Computer Science Division Electrical Engineering and Computer Sciences University of California Berkeley, CA 970 (Warning: Process details often change.

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM MAGIC TUTORIAL Getting Started: Login to one of the workstations located in ECEE 253 using login name iclab. There is no password so just hit [enter]. The local directory on each machine is /home/sp98/iclab.

More information

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0. Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version 6.0 Overview This tutorial will take you through the

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Introduction to PCB Design with EAGLE. Jianan Li

Introduction to PCB Design with EAGLE. Jianan Li Introduction to PCB Design with EAGLE Jianan Li Install EAGLE Download EAGLE: http://www.cadsoftusa.com/download-eagle/ Choose Run as Freeware during installation Create a New Project Launch EAGLE and

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information