ACCELERATING CHIP-LEVEL ROUTING AND DESIGN

Size: px
Start display at page:

Download "ACCELERATING CHIP-LEVEL ROUTING AND DESIGN"

Transcription

1 ACCELERATING CHIP-LEVEL ROUTING AND DESIGN Johnny Premkumar National Semiconductor Corporation September 2005 ABSTRACT This paper focuses on optimizing and improving time to market of the mixed-signal toplevel layout routing task. Using a design database specifics such as the technology data, design preparation, connectivity information, and routing controls in the Cadence Chip Assembly Router(CCAR) will be discussed. Emphasis will be on fine-tuning the toplevel routing flow to achieve greater density, design quality, speed, and productivity. Data preparation entails the generation and placement of layout blocks and I/O cells. Power and ground rails are then drawn. Some critical nets as well as via arrays are prerouted. Pins and connectivity on all added polygons is ensured. In the CCAR, the plain text do file is optimized to automate as much routing as possible. The I/O cells are routed first. The component pins are connected to the power and ground trunks. This is followed by the global route. Multiple detailed routes are performed to get optimal routing. Finally post-route clean up is done to remove unwanted wiring such as notches and unnecessary vias.

2 1. INTRODUCTION In the electronics industry recent developments have increased the number of devices and the functionality that can be put on a chip where these chips include several digital and analog circuits. Automating a good percentage of chip-level layout design is critical for improving the time to market. The requirements demanding this task are manufacturing, density, design quality, speed, noise sensitivity, and productivity. In this paper the focus will be on automating as much as possible this chip assembly procedure. Firstly, I will briefly describe the layout design of the sub-blocks. Then the preparation and the interconnection of these blocks at the top-level will be dealt with in more detail. The chip assembly flow incorporates a layout accelerator, a design rule driven editing environment, parameterized cells(pcells) and automated routing all in a connectivity based environment to achieve optimal layout and productivity. 2. METHODOLOGY The methodology and the flow utilized helps to accelerate chip layout design. The tools utilized are primarily from Cadence Design Systems which have been customized for the National Semiconductor mixed-signal design CAD environment. The layout generation and design of the sub-blocks is done using the Automated Custom Physical Design(ACPD) flow. The top-level layout, which consists of the sub-blocks, is then generated in Virtuoso XL (VXL). The layout is placed and necessary top-level routing preparation is done. The layout is then routed in the Cadence Chip Assembly Router (CCAR) tool. All the tools and flows are intricately integrated so that the designer does not have to spend too much time in translation, import, and export of intermediary files between different tools or vendors. Flow diagram: Digital Cells(VXL, Pcells, VCP, VCR ) Device Level Layout Design (ACPD flow) Analog Cells(Neocell, Pcells) Pre-routes (Wire Editor) Top Level Placement (VXL) Abstract Views (Abstract Generator) CCAR do files CCT rules Top Level Automatic Routing (CCAR) Load CCAR do file Global Route(Analyze) Detail Route Fix Conflicts, Interactive Routes ECO, Post Route VXL, Physical Verification

3 3. DEVICE LEVEL The top-level schematic typically consists of several symbols and lower level blocks(subblocks) being connected to each other to achieve a meaningful design. The layout generation and design of these blocks, which are sub-blocks in the top level schematic, is done first. 3.1 Layout Design For device level layout generation and design the Automated Custom Physical Design (ACPD) flow is utilized. This is a schematic driven flow. For digital blocks the schematic is opened in the Composer tool. From the schematic the Virtuoso XL (VXL) tool is invoked. VXL is the Cadence Layout Editor and Layout Accelerator tool. The layout instances, majority of these being standard cells, is generated and randomly placed. The Virtuoso Custom Placer(VCP) tool is used to do automatic placement. The placed layout is translated to the Virtuoso Custom Router(VCR) for automatic routing at the cell level. The routed design is then imported back into VXL. For analog blocks, the schematic is invoked. Circuit constraints are entered into the Neocell Constraint Editor for automatic place and route. The Neocell layout Editor is invoked. The layout is generated using pcells and Neocell module generators. The pcells are developed in advanced ROD skill while the Neocell generators are developed in the tcl language by the CAD group. Based on the constraints the Neocell tool can be utilized to do automatic placement. This is followed by automatic routing. This final layout is then exported into VXL. The above steps are repeated for all the sub-blocks in the top-level schematic. 4. TOP LEVEL ROUTING PREPARATION Once all of the sub-blocks are created using the ACPD flow, preparation for the chip level layout design is started. 4.1 Abstract Views Abstract views need to be created for all the sub-blocks. To create abstract views, the Cadence Abstract Generator is invoked from VXL. Some technology file setup is required to be done by the CAD group in order to run the Abstract Generator. The Abstract Generator allows the user to import layout information and create abstracts. For each block the Pins step, the Extract step, and the Abstract step need to be completed. The resulting abstracts, for each sub-block, would essentially be a box consisting of prboundary with boundary purpose layer and also include the I/O pins associated with that block. The abstract views would later be used to facilitate the top-level layout design. Abstracts, as opposed to raw layout data, enable faster data translation from VXL to

4 CCAR as well as improve the interconnect speed in CCAR which is critical at the chip level. 4.2 Virtuoso XL The top-level schematic is opened. VXL is invoked and used to generate the layout from the schematic. In the layout generation form particular metal pin layers for the respective I/O pins is specified. After auto-generation, the layout will have several blocks and instances(pcells) randomly placed. The layout instances and blocks extract the connectivity between them and the I/O pins from the schematic. The layout is then manually placed. All Electro Static Discharge(ESD) devices and pads are manually placed and aligned to instances and blocks to facilitate I/O cell routing in CCAR later. The VXL tool is used to confirm the one-to-one correspondence of the instances, blocks, and pins between the layout and schematic. 4.3 CCT Rules Setup The CCT rules file is the technology file used by CCAR for translating routing data and requirements from VXL. This is a plain text file with separate sections. The icclayers section is where the information about routing layers, contacts, vias, diffusion, well, and implant layers are entered. This is followed by the iccvias section which specifies the contact and via instances to be used while routing from the primitive library. The iccequivalentlayers section specifies the layers that need to be treated the same as the pin purpose layers. This is so that if there is a pin information on these layers then CCAR would recognize the connectivity. The iccboundarylayers section specifies the prboundary with drawing purpose layer as the boundary layer. Lastly, the icckeepouts section lists keepout areas in the design where routing should not happen. Here the prboundary with Boundary purpose is set as a keepout for all routing layers. The prboundary with Boundary purpose layer is the layer that encompasses the abstract view. So basically the router can only route to the pins inside these abstract blocks by cutting keepouts which is specified by the designer. At National Semiconductor, the standard CCT rules are developed and maintained by the CAD group for each process. In the VXL environment, the user is prompted to choose the right CCT rules based on the number of metal layers. This automatically creates the file in the user design area. 4.4 Pre-routes Pre-routes of critical wires and busses are done in VXL. Pre-routes are completed using path stitching or by using the Wire Editor tool. The path stitching feature is maintained in the technology file by the CAD group. The Wire Editor is an automatic point to point automatic router and includes features such as the design rule correct (DRC) interactive routing and editing, bus routing, and the compaction of routes. Some setup, including the CCT rules, is necessary to utilize the Wire Editor. Power rails are drawn at specific locations with a particular width. Via arrays, and extra thick metal segments and busses are also routed.

5 5. CADENCE CHIP ASSEMBLY ROUTER(CCAR) The CCAR tool is an extensive and advanced chip level router primarily for analog designs and small digital designs. CCAR includes on the fly DRC checking for routing layers, pushing of nets while routing, cross-coupled and differential pair routing, and shielded routing. It is a shape based router with an easy interface with the Cadence VXL tool. From VXL, which has the top level placement, the CCAR tool is invoked. The design as well as all the layers and routing information from the cctrules is translated into CCAR. Here the abstract views are translated into CCAR which makes the translation and routing of the design much faster. Before translation, in the Export Form, the option is selected to enable the Cut Pins to Edge feature. This is to cut keepouts so that the Router can reach the pins inside the abstract blocks. The user can use the pull down menus or enter the CCAR commands in the command line. Alternatively the CCAR commands can be entered in a separate plain text file with extension.do. Running this do file will enable CCAR to route the design automatically based on the constraints and commands set in this file. 5.1 CCAR do file setup The CCAR do file is developed from scratch. The do file is constructed with various sections. The comands need to be entered in a particular sequence to achieve best results. A Constraint.do file is created using a text editor to input the commands as specified in the following Initialization and Rules sections. Create another plain text file called Global.do which will include the commands in the Global Route section below. Finally create a Route.do text file that includes commands in the Detail Route section. Initialization We start with specifying the process, wire, via grid sizes. Any offsets may also be included. This is followed by the routing directions for all the routing layers. Typically by default Poly is orthogonal, Metal1 is horizontal, Metal2 is vertical, Metal3 is horizontal, Metal4 is vertical, and Metal5 is horizontal. Poly layer is specified as an unselected layer, meaning it would not be used for routing unless due to extreme space constraint. This is obviously to limit the high resistance associated with the Poly layer. Offgrid routing is avoided either by forbidding or by placing a high cost on it. Via Stacking is turned on. Unnecessary extended wires are to be trimmed. Commands are entered to avoid notches. Groups and classes of nets are created. Particular rules can be assigned to these groups and classes. Specific via arrays are setup. Rules Width and clearance based rules are entered for routing layers. Wide metal spacing rules are specified based on process rules. The minimum process width is specified for all

6 routing layers. Minimum endcap area rules are specified to meet DRC rules. Vias are specified to be placed on the pins. Pin escape command is entered to pre-route short metal wires out of areas such as poly contacts and vias to ease detailed routing later. Specify vias not be placed on the power nets. Specify only certain layers for particular nets. Various inter layer rules not specified in the Opus technology file, such as the spacing between diffusion and contact, are entered. To control the terminal to terminal routing of segments between instances, fromto rules are setup. Specify nets to have the same width always by using the pin_width_taper off command. Alternately, users can specify the wire segment to reduce or enlarge the wire segment when entering or exiting a pin. Some regions may be defined as keepout areas for routing. Net priority is assigned for routing. Implement the option for cutting keepouts to edges which will enable the router to connect to the pins in the keepout regions. Global Route Global route is specified as 3 iterations using the Layer Panel option. The Global route maps paths for each unrouted net in the high level design. Then it creates what are called gcells to guide the detail router during detail routing and in effect reducing the process time for detail routing. Detail Route Select all power and ground nets and route them first. Protect these nets so that the existing routing is saved. Unselect the power and ground nets and select the other nets. Shielding could be be done on sensitive wires. Define net pairs for matched routing such as wire topology, layers, and wire length. Cross talk rules are setup such as the parallel and tandem rule by segment. Re-run global route. Global route is followed by detail routing passes. The clean command is used to remove unnecessary jogs, vias, and contacts. This is to also to reduce space and resistance caused by such routing. This is followed by commands to remove notches that still exist. This completes the development of necessary sample do files needed to complete automatic routing in CCAR. The do files can be adjusted and could be even more detailed depending on the design requirements. 5.2 Route the I/O cells In CCAR all the I/O cells are selected. The Power Router is invoked from the pull-down menu. The As I/O Ring option is selected and applied on all the selected instances. This will start the I/O routing. If there are power route failures which is evident by the x marks in the design, then run the Power Router again. Failures could still exist due to misalignment between power trunks and ports, congestion, and poor placement topology. If there are not too many failures fix the failed routes interactively. You may also leave the failures for successive routing to fix it later. 5.3 Routing Pin to Trunk

7 If the design has power trunks, invoke from the pull-down menus Pin to Trunk routing facility. The nets and the power rails are selected and setup to be routed. This will route most of the power pins that are aligned with the supply trunks. Unrouted pins will be routed later. 5.4 Protect pre-routes All the current wiring done so far, especially the power routes, should be set up to be protected so that CCAR will not over-ride these wires later. 5.5 Run CCAR do file All the three do files developed earlier should reside in the design area. Firstly, the Constraints.do file is executed. This will execute all the commands in sequence. This is followed by executing the Global.do file. After global routing, there should be few failures and a low number of violations. A high failure indicates possible blocked pins in which case these areas may need to be spaced out by manual placement. Run congestion analysis. If there are too many blocked pins, manual placement may be done to space out the block to block spacing. Re-run Global.do until a satisfactory result is achievied. Global route is a quick way to estimate detail routing success. If the global route is satisfactory, then chances are that the detail route will be good. Next the Route.do file is executed. Detail route takes much longer time than global route. At the end of the route, errors are highlighted in CCAR. These errors can be de-bugged to find out if these are clearance, crossover, endcap or other violations. Route errors could be fixed interactively or by entering syntax in the CCAR command line. CCAR provides point to point DRC correct interactive routing capability. Some more global route passes could be done at the command line. To enhance the route completion, the placement of some blocks or devices could be changed to enable the router to complete the routes. This is followed by detail routing passes. Once the route is done the CCAR tool provides information on the existing number of unconnects and the route completion percentage. The number of unconnects refer to the total number of nets not routed by CCAR. The completion field refers to the percentage of the completed routes in relation to the total nets to be routed. If the do file is setup right and the design placement is not too congested the route completion rate should be good. Especially for large designs if a high percentage of the routes could be automated, this would save a lot of layout design cycle time and improve productivity. 5.6 Import to VXL Once routing is complete in CCAR, the design is saved and imported back into VXL. In VXL further clean up may be done if necessary. If more Engineering Change Order(ECO) changes are done to the design, the layout could be updated accordingly and then re-exported to CCAR for further wiring. After final routing, the design is imported back into VXL. These iterations can be done continuosly, in conjunction with physical

8 verification, until the designer is satisfied with the top level routing. This shoud result in the final optimal layout. 6.0 CONCLUSION The flows, setup, and tools discussed are vital in accelerating the detailed task of chip level routing and design. This is complemented by the advanced pcells, technology files for several tools discussed, and a customized CAD environment maintained by the CAD group. Going forward there could be some enhancements. The possible enhancements include a) an integrated Floorplanner for mixed-signal designs to estimate characteristics of the final layout b) a more advanced Compaction tool for block level compaction c) more flexibility for interactive edits in CCAR such as manually inserting via arrays and d) layout editing capabilities within CCAR to limit import and export to VXL. This methodology and flow will no doubt improve the time to market and productivity. Currently the goal is to automate about 70% percent of the routing data preparation and the chip level routing. With this automation and possible future tool enhancements the layout design cycle time can be shortened, and in effect the final layout and productivity can be improved tremendously. REFERENCES [1] Aurangzeb Khan, Recent Developments in High-Performance System-on-Chip IC Design, 2004 International Conference on IC Design and Technology, May [2] Neocell User Guide, Product Version 3.4, Cadence Design Systems Incorporated, May [3] Rami Ahola, Daniel Wallner, Marius Sida, Avi Gupta, Bluetooth Transceiver Design: A Top-Down Flow for Complex RF Mixed-Signal ICs, Mentor Graphics Technical Publication, February [4] Sravasti Nair, Randy Bishop, Mike Fang, Daniel Houlihan, Susan Zhang, A Design Rule Driven Editing Environment in Virtuoso, International Cadence Usersgroup Conference, September [5] Ernie Koeroghlian, Avi Gupta, Addressing the Layout Challenges of Increasing Analog Content in SoCs, Mentor Graphics Deep Submicron Technical Publication, July [6] Virtuoso XL Layout Editor User Guide, Product Version 5.0, Cadence Design Systems Incorporated, 2003.

9 [7] IC Shape-Based Technology Chip Assembly User Guide, Product Version , Cadence Design Systems Incorporated, [8] Manfred Henning, Juergen Scheible, Kurt Liebermann, Thomas Dilling, Cambio Interactive compaction of mixed signal layout with the IC-Station, Mentor Graphics IC Design Technical Forum, September [9] Andy Tran, Jason Chen, Analog/Mixed-Signal Layout Acceleration, National Semiconductor Technology Bridge Conference, February [10] Adel S. Sedra, Kenneth C. Smith, Microelectronic Circuits, 3 rd Edition, 1990

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT

TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT TONY LAUNDRIE IC DESIGN ENGINEER P.O. BOX 4000 CHIPPEWA FALLS, WI 54729 atl@sgi.com INTERNATIONAL CADENCE USER GROUP CONFERENCE

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Topology Router. - The Intelligence of an Engineer. - The Skill of a Designer - The Speed of Auto-Routing. Dave Wiens. Director, Market Development

Topology Router. - The Intelligence of an Engineer. - The Skill of a Designer - The Speed of Auto-Routing. Dave Wiens. Director, Market Development Topology Router - The Intelligence of an Engineer - The Skill of a Designer - The Speed of Auto-Routing Dave Wiens Director, Market Development Challenges Reduction of design-cycle time for products that

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE Vladimir Emilov Grozdanov 1, Diana Ivanova Pukneva 1, Marin Hristov Hristov 2 1 Smartcom, 7 th km, Tzarigradsko Chausee Blvd, 1784

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

Cabling Installation - Procedures

Cabling Installation - Procedures Cabling Installation - Procedures Installing Twisted-Pair Media Topology: Ensure the topology chosen is appropriate for the transceiver in question: bus for TP/XF-1250 channels and either bus or free topology

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

OrCAD PCB Editor Menu comparison

OrCAD PCB Editor Menu comparison A Parallel Systems Technical Note OrCAD PCB Editor menu comparison OrCAD PCB Editor Menu comparison Cadence introduced a new easy to use menu in the 16.6-2015 (Hotfix S051) release. This new menu structure

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies Introduction David Kaplan (Cadence Design Systems, Inc.) Sini Mukundan (National Semiconductor, Inc.) OpenAccess plays

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

Lesson 5: Board Design Files

Lesson 5: Board Design Files 5 Lesson 5: Board Design Files Learning Objectives In this lesson you will: Use the Mechanical Symbol Editor to create a mechanical board symbol Use the PCB Design Editor to create a master board design

More information

Moving to Altium Designer from Pads Logic and PADS Layout

Moving to Altium Designer from Pads Logic and PADS Layout Moving to Altium Designer from Pads Logic and PADS Layout Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Translating complete PADS Logic and PADS Layout designs, including PCB,

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Accelerating 20nm Double Patterning Verification with IC Validator

Accelerating 20nm Double Patterning Verification with IC Validator White Paper Accelerating 20nm Double Patterning Verification with IC Validator Author Paul Friedberg Corporate Applications Engineering Stelios Diamantidis Product Marketing Abstract The emergence of Double

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information

Lay ay ut Design g R ules

Lay ay ut Design g R ules HPTER 5: Layout esign Rules Introduction ny circuit physical mask layout must conform to a set of geometric constraints or rules called as Layout esign rules before it can be manufactured using particular

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda

More information

TQPED MMIC Design Training

TQPED MMIC Design Training TQPED MMIC Design Training Outline Installation and Use of the Library AWR AWR Design Kit (PDK Process Design Kit) ICED Layout Kit Create a new document using the Library Environment Setup Hotkeys Background

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

GRAFFY / HYDE - Information

GRAFFY / HYDE - Information GRAFFY / HYDE - Information Revision 12.1 30.11.2006 This file contains important information! Please read it carefully! Description of the GRAFFY/HYDE Enhancements 1.1 General Information about Revision

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Digital VLSI Chip Design CAD manual addendum When you re drawing layout, especially of a complex cell, it s sometimes hard to see exactly what your

More information

Introduction Creating a Project Footprint Design

Introduction Creating a Project Footprint Design EEC 134 Application Note Introduction to PCB Design Cameron Vossoughi Introduction Being fluent in PCB design is essential for electrical engineers regardless of their discipline focus. This application

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

Microwave Office Training

Microwave Office Training Microwave Office Training Schematic Layout Schematic Layout Schematic View Circuit We are Here! Schematic Layout View External World Need Export Mapping Two views of the same circuit! - including connectivity

More information

Boot Camp-Special Ops Challenge Quiz

Boot Camp-Special Ops Challenge Quiz 1. What s the key difference between a panel and dialog window? a. There is none b. Panels must be closed in order to continue editing, whereas dialogs can be left open c. Dialogs must be closed in order

More information

Diagonal Routing in High Performance Microprocessor Design

Diagonal Routing in High Performance Microprocessor Design Diagonal Routing in High Performance Microprocessor Design Noriyuki Ito, Hideaki Katagiri, Ryoichi Yamashita, Hiroshi Ikeda, Hiroyuki Sugiyama, Hiroaki Komatsu, Yoshiyasu Tanamura, Akihiko Yoshitake, Kazuhiro

More information

EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level

EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level EDA Software for Verification of Metal Interconnects in ESD Protection Networks at Chip, Block, and Cell Level Maxim Ershov (1)*, Yuri Feinberg (1), Meruzhan Cadjan (1), David Klein (2), Melanie Etherton

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information