4Affirma Analog Artist Design Flow

Size: px
Start display at page:

Download "4Affirma Analog Artist Design Flow"

Transcription

1 4Affirma Analog Artist Design Flow Getting Started 1. 登入工作站 : Username : trainaxx Password : train0xx 其中 XX 代表工作站名字的號碼, 例如工作站名字叫做 traina01 的話,XX 就是 先確定是否進入 Solaris 作業系統的 Common Desktop Environment(CDE) 的視窗環境, 如果沒有進入的話, 請和 CIC 人員聯絡 3. 清除工作站上所有目錄與設定 CIC 工作站環境 : unix% \rm -rf * unix% /user/local/bin/cicsetup 4. 離開並重新登入工作站 5. 將本實驗課程目錄解開至目前的帳號中 : unix% cp /cad2/lab/mss/mss_lab.tgz ~/ unix% cd ~ unix% gzip cd MSS_lab.tgz tar xvf - Starting Design Framework II Environment 1. 首先檢查 license 的設定, 在 UNIX 環境下執行 : unix% source /usr/cadence/cic_setup/ldv.cshrc unix% source /usr/cadence/cic_setup/ic.cshrc unix% env grep LM_LICENSE_FILE 檢查是否有類似 LM_LICENSE_FILE=5280@<license_server_name>, 其中 license_server_name 是 license server 工作站的名字 2. 設定 Editor 的設定, 在 UNIX 環境下執行 : unix% setenv EDITOR textedit 3. 進入 icfb 目錄下鍵入 icfb 來啟動 Cadence 軟體 unix% cd ~/MSS_Lab/icfb unix% icfb& Page 1

2 Building the CHIP Design 本實驗課程採用 6Bits DAC 設計來介紹 Mixed-Signal IC 設計流程 Building the Supply Circuit 簡化模擬電路時所需要之電壓源 1. 在 Library Manager 中, 執行 File New Cellview, 依下列設定 Create New File 視窗, 完成後按 OK: Library Name Training Cell Name Supply View Name Schematic Tool Composer Schematic 2. 加入元件建立如下所示的 supply schematic, 完成後 Check and Save Library Name Cell Name PROPERTIES/COMMENTS analoglib vdc For V0: DC voltage = 3.3 V analoglib vdc For V1: DC voltage = 0 V analoglib gnd Creating the Supply Symbol 1. 在 supply schematic 視窗中, 選擇 Design Create Cellview Form Cellview 後, 在 Cellview From Cellview 視窗中, 按 OK 2. 修改 symbol 視窗內容如下所示, 即可存檔離開 : Page 2

3 Verilog In 利用 Verilog In 建立 6Bits DAC 的設計 1. 在 CIW 中, 執行 File Import Verilog 讀入 Verilog netlist, 在 Verilog In form 中做以下設定後按 OK: Target Library Name Training Reference Library tcb773p tpz773pn tpz773pn_analog_100a analog Verilog Files To Import CHIP.v DACtop.v -f Option vlogin.f Power Net Name vdd! Ground Net Name gnd! 2. 幾分鐘後執行完畢, 在跳出的對話盒按 Yes, 觀看 Verilog In 的結果, 是否所有的 module 都可以在 library 上找到對應 3. 離開 Log window Creating the Behavioral View of Digital Block IMatrix8x8 為類比部分, 其餘均為數位部分 1. 在 Library Manager 中, 執行 File New Cell View, 在 Create New File 視窗做以下設定後按 OK: Library Name Training Cell Name mux2 View Name behavioral Tool Verilog Editor 2. 出現一 Text Editor 視窗, 複製 DAC.v 中 mux2 module 的 verilog code 到此 Text Editor 視窗, 儲存後關閉此視窗 3. 檢視 CIW 中有無錯誤訊息, 若 CIW 中有錯誤訊息, 在 HDL Parser Error 視窗中, 按 Yes 來修正 verilog 語法, 直到 CIW 中無錯誤訊息為止 4. 重複 1-3 步驟, 完成 BintoTherm count6 latch1x6 與 latch1x7 電路的 behavioral view Creating the Schematic View of Analog Block 提供類比部分的 Library 為 analog 1. 在 Library Manager 中, 複製 analog 中 IMatrix8x8 的 schematic view 至 Training 中 IMatrix8x8 的 schematic view 2. 在 Library Manager 中, 複製 analog 中 IMatrix8x8 的 symbol view 至 Training 中 IMatrix8x8 的 symbol view Page 3

4 Building the CHIPTest Circuit 1. 在 Library Manager 中, 執行 File New Cellview. 2. 依下列設定 Create New File 視窗, 完成後按 OK: Library Name Training Cell Name CHIPTest View Name schematic Tool Composer Schematic 3. 加入元件建立如下所示的 CHIPTest schematic, 完成後 Check and Save Library Name Cell Name PROPERTIES/COMMENTS Training DACtop Training supply analog signal PER=8n analoglib vpulse For V0: Voltage1=3.3v; Voltage2=0v; Delay time=1.5ns; Rise time=10ps; Fall time=10ps; Pulse width=1.99ns; Period=4ns analoglib vdc For V1: DC voltage = SEL analoglib vpwl For V2: DC voltage = 0; Time1=10ns Voltage1=0v; Time2=10.1ns Voltage2=3.3v analoglib res Resistance=75Ohms Page 4

5 analoglib cap Capacitance=5pF analoglib vdd analoglib vss analoglib gnd Creating a Configuration File with the Hierarchy Editor 1. 在 Library Manager 中, 執行 File New Cell View, 在 Create New File 視窗做 以下設定後按 OK: Library Name Training Cell Name CHIPTest View Name config Tool Hierarchy Editor 2. 在 New Configuration 視窗中, 選擇 Use Template 後, 出現 Use Template 視窗, 選擇 Name:spectreVerilog 後按 OK, 回到 New Configuration 視窗, 在 View: 內填入 schematic 後, 按 OK 3. 在 hierarchy editor 視窗中, 詳列出 CHIPTest 所使用到 Cell 的 View Found, 檢查 View Found 是否全部顯示黑色 4. 在 hierarchy editor 視窗中, 將 BintoTherm mux2 count6 latch1x6 與 latch1x7 的 View Found 用滑鼠右鍵選擇 View 為 behavioral 後, 在 View to Use 內出現 behavioral 5. 在 hierarchy editor 視窗中, 執行 View Update(Needed) 後, 再執行 File Save 來完成 config 設定 Running a Simulation 1. Open Design: 在 hierarchy editor 視窗中, 按 Open 來開啟 Top Cell 的 schematic view, 並且不要關閉 hierarchy editor 視窗 2. Starting the Simulation Environment: 在 CHIPTest 的 schematic 視窗中, 執行 Tools Analog Environment, 出現 Affirma Analog Circuit Design Environment( 簡稱 Artist) 視窗 3. Choosing a Simulator: 在 Artist 視窗中, 執行 Setup Simulator/Directory/Host 後, 在 Choosing Simulator/Directory/Host 中, 選擇 Simulator 為 spectreverilog 後, 按 OK 4. Setting the Model Libraries: 在 Artist 視窗中, 執行 Setup Model Libraries 後, 在 Model Library Setup 中, 填入 Page 5

6 後, 按 Add 以加入於 Model Library File 欄中, 在填入 後, 按 Add 以加入於 Model Library File 欄中, 再按 OK, 完成設定 5. Choosing Analyses: 在 Artist 視窗中, 執行 Analyses Choose, 在 Choosing Analyses 中, 選取 tran 分析, Stop Time 設定 300n 並選取 Enabled 後, 按 OK 6. Setting Design Variables: 在 Artist 視窗中, 執行 Variables Copy From Cellview 後, 會在 Design Variables 出現 SEL 與 PER 兩個變數, 用滑鼠連兩次點選 SEL 後, 設定值為 0 後, 按 OK 相同設定 PER 值為 8n 7. Saving Simulation Data: 在 Artist 視窗中, 執行 Output Save All 後, 出現 Save Options 視窗, 確定 Select signals to output (save) 為 allpub 後, 按 OK 8. Saving Outputs for Plotting: 在 Artist 視窗中, 執行 Output To Be Plotted Select On Schematic, 點選 Tclk Tsel Treset TBin<5:0> 與 TY 後, 按 ESC 跳出 schematic 視窗 9. Viewing the Netlist: 在 Artist 視窗中, 執行 Simulation Netlist Recreate, 顯示階層的 Netlist, 請檢視內容有無錯誤, 若無, 將 Netlist 視窗關閉 10. Running the Simulation: 在 Artist 視窗中, 執行 Simulation Netlist and Run, 開始模擬, 完成後會顯示模擬過程紀錄文字檔與 AWD 波形圖 AWD 視窗中會出現如下所示波形 Page 6

7 Prepare Analog Block Data for SE Prepare Layout 1. 利用 Virtuoso 完成 analog 中 IMatrix8x8 的 Layout view 後, 在需要產生輸入輸出 pin 腳 ( 包含 analog power pin 腳 ) 處, 打上 Metal pin 的 Label ( 請注意 :2P4M 的 Label 是用各個 Metal 之 pin layer, 而不是 Text drawing layer, 與 1P4M 不同 ) 2. 進入 abstract 目錄 unix% cd ~/MSS_Lab/abstract 3. 複製 tech352p4m.dpux 為 tech.dpux unix% cp tech352p4m.dpux tech.dpux 4. 建立一個目錄 (T352P4M) 為 Abstract Generator 之 library unix% mkdir T352P4M 5. 利用 vi 或 texteditor 修改 tech.dpux 裡頭的 Library path, 如下 : (DEFLIBPATH (LIBPATH T352P4M ~/MSS_Lab/abstract/T352P4M ) ENDDEFLIBPATH) ( 建議在定義 Library path 時, 使用絕對路徑 ) 6. 在 UNIX 環境下執行 abstract 來啟動 Abstract Generator unix% abstractor tech. & 7. 在 Abstract Generator 程式中, 執行 File Library, 注意 : 此時 Layout 和 Logical 按鍵被 enable Page 7

8 8. 在 Abstract Generator 程式中, 執行 File Import Stream(GDSII), 在 GDSII Filenames 中, 填入 後, 按 OK, 以 import analog block file (IMatrix8x8.gds) 9. 在 Abstract Generator 程式中, 選擇 Bin Menu 之 Core 再選擇 Cell Menu 之 IMatrix8x8, 此時在 Cell Menu 中,IMatrix8x8 的 Layout 欄打勾表示成功 import analog block ( 如出現驚嘆號! 代表有 warning 訊息 ); 執行 Cells Move, 選擇 Block 然後按 OK 此時 IMatrix8x8 將在 Bin Menu 中, 從 Core 移到 Block 10. 在 Abstract Generator 程式中, 執行 Flow Pins, 填入 Page 8

9 按 Run 11. 在 Abstract Generator 程式中, 執行 Flow Extract, 直接按 Run 12. 在 Abstract Generator 程式中, 執行 Flow Abstract, 直接按 Run Page 9

10 13. 在 Abstract Generator 程式中, 執行 Flow Verify, 直接按 Run Page 10

11 14. 在 Abstract Generator 程式中, 執行 Flow Export LEF, 在 Export LEF 中填入 後, 按 OK 以 Output LEF 檔案 (SE P&R needed) 在 abstract 目錄下會得到一 IMatrix8x8.lef 檔案, 修改此檔案只留下 MACRO 以後文字部分 Using Silicon Ensemble Starting the Silicon Ensemble Ultra 1. 進入 Silicon Ensemble 目錄 unix% cd ~/MSS_Lab/se 2. 在 UNIX 環境下執行 seultra 來啟動 Silicon Ensemble Ultra 程式 unix% seultra m=800 & Imorpt LEF Files 1. 在 Silicon Ensemble 程式中, 執行 File Import LEF, 在 Import LEF form 中的 Directory and File List 欄位中在 LEF 上連點兩下, 並選擇 tcb773p_4lm-cic.lef 這一個檔案, 然後按 OK 2. 當程式執行完畢之後, 在 UNIX terminal 中利用 vi 或 text editor 察看 importlef.rpt 檔案的最後面部分, 是否有 error message? 3. 用步驟 1 的方式把 tpz773pn_4lm-cic.lef tpz773pn_analog_4lm.lef IMatrix8x8.lef 檔案 Import 到 SE 中, 如果 SE 詢問要不要覆蓋 importlef.rpt, 回答 Yes Generate Verilog In Reference Libraries 1. 由於在 APR 結束之後需要把最後的 verilog 寫出 ( 不管有沒有做 CTGen 或 timing Page 11

12 place&route), 而 export verilog 必須要有 timing library ( 包含 standard cell, IO pad, analog block), 所以 APR 一開始的 import timing library(environment.gcf) 變成必要的步驟 ; 先利用 tlfencrypt 指令 compile IMatrix8x8.tlf unix% cd ~/MSS_Lab/se/tlf unix% /usr/cadence/dsmse/cur/tools/tlfutil/bin/tlfencrypt IMatrix8x8.tlf IMatrix8x8.ctlf 2. 執行 File Import Timing Library, 選擇 environment.gcf, 然後按 OK 檢查是否有使用到的 Cell IO Pad Analog Block 等未被 import timing library 3. 在 Silicon Ensemble 程式中, 執行 File Import Verilog, 首先注意在 Import Verilog form 中的 Power Nets 以及 Ground Nets 欄位中, 有那些 net name?logic 1 Net 以及 Logic 0 Net 是那些?Special Nets 有那些? 4. 在 Import Verilog form 的 Verilog Source Files 欄位中填入 tcb773p.v tpz773pn-cic.v tpz773pn_analog-cic.v IMatrix8x8.v filler.v,compiled Verilog Output Library 欄位中填入 cds_vbin,verilog Top Module 欄位與 Compiled Verilog Reference Libraries 欄位保持空白, 在 Options form 的 Power Nets 欄位中新增 TAVDD, 在 Ground Nets 欄位中新增 TAVSS, 在 Special Nets 欄位中新增 TAVDD TAVSS, 然後按 OK 當程式執行完畢之後, 觀察 se 目錄下是否多了一個名為 cds_vbin 的子目錄? 另外觀察新增的檔案 cds.lib 的內容 Import a Verilog Design 1. 觀察 CHIP.v 檔案內容 : unix% more CHIP.v 須修改檔案內容包含了所有的 IO pad 與 4 個 CORNER pad 2. 在 Silicon Ensemble 程式中, 執行 File Import Verilog, 用滑鼠按一下 Verilog Source Files 欄位旁邊的 Browse 按鈕來叫出 Import Verilog File form, 首先在 Filter 欄位中填入 *.v, 再按 Enter, 接下來檢查 Selected Files 欄位是不是空的, 如果不是的話, 用滑鼠將 Selected Files 欄位中的檔案全部選起來, 再按 Del 鍵來移除檔案 ; 然後在 Directory and File List 欄位中用滑鼠選擇 CHIP.v 以及 DACtop.v 等兩個檔案後, 再按 Add 按鈕來加入檔案 接著檢查 Selected Files 欄位, 確認其中只有 CHIP.v 以及 DACtop.v 兩個檔案 最後按 OK 3. 在 Import Verilog form 中, 在 Verilog Top Module 欄位填入 CHIP, 在 Compiled Verilog Reference Libraries 欄位中填入 cds_vbin, 在 Compiled Verilog Output Library 欄位中填入 CHIP_v, 在 Options form 的 Power Nets 欄位中新增 TAVDD, 在 Ground Nets 欄位中新增 TAVSS, 在 Special Nets 欄位中新增 TAVDD TAVSS, 最後按 OK Page 12

13 Initialize Floorplan 1. 在 Silicon Ensemble 程式中, 執行 Floorplan Initialize Floorplan, 在 Initialize Floorplan form 中的 Design Statistics window 中, 先觀察 design 中包含多少 cell IO pad 以及多少個 block, 另外也觀察 design 中,cell area block area IO pad area 分別是多少 2. 在 Initialize Floorplan form 中的 I/O To Core Distance 部分, 設定 Left/Right distance 以及 Top/Bottom distance 為 100 microns 這是數位電路 power ring 的空間 3. 在 Initialize Floorplan form 中的 Core Area Parameters 部分, 設定 Row Spacing 為 1 track 4. 在 Initialize Floorplan form 中的 Core Area Parameters 部分, 設定 Block Halo Per Side 為 100 microns 這是類比電路與數位電路間的空間 需注意此實驗課程中類比電路已包含類比的 power ring 5. 用滑鼠點一下 Calculate 按鈕, 然後觀察 Expected Results window 中所預測出來下面的參數是多少? 晶片寬度晶片高度 Core row utilization Number of standard cell row Design 是 core limited 還是 IO limited? 6. 將 Die Size Constraint 欄位中的 AspectRatio 設定為 1.05, 更改 Core Area Parameters 中的 Row Utilization (%) 為 90, 設定 Row Spacing 為 0 track, 選取 Flip Every Other Row 與 Abut Rows, 然後再按 Calculate 按鈕, 這次預測出來的餐數值有何改變? 晶片寬度晶片高度 Core row utilization Number of standard cell row Design 是 core limited 還是 IO limited? 7. 按 OK 後, 觀察 art work window 中的 layout( 如 Figure 1), 找出 Figure 1 中相對應之 core row IO row corner row 以及 IMatrix8x8 block Page 13

14 Figure 1. Initialize Floorplan Place IMatrix8x8 Blocks 1. 首先確定 Object Selection Window 中, 選項 Cell 是可以被選擇的 2. 執行 Edit Move, 試著將 IMatrix8x8 block 移入 core area 3. 在 Silicon Ensemble window 中執行 Floorplan Update Core Rows, 在 Update Core Rows form 中, 設定 Global Block Halo 的值為 100; 另外觀察 Per Block Halo field 中記錄了那些 block 的 instance name? 最後按 OK 來 Update Core Row 之後, 原來和 IMatrix8x8 block 重疊的 core row 會被 Silicon Ensemble 程式移除 4. 在 Figure 1 中, 可以很明顯的看出 IO Row 位置放相反, 先執行 File Export DEF, 在 DEF File Name 欄位中填入 io_block_placed.def, 然後按 OK 在 SE 的目錄下可以看到 io_placed_block.def 檔案, 利用 vi 或是 text editor 修改此檔案, 找到以下 4 行並修改為 ROW ROW_37 pad W DO 1 BY 626 STEP ; ROW ROW_36 pad E DO 1 BY 626 STEP ; ROW ROW_35 pad N DO 694 BY 1 STEP ; ROW ROW_34 pad S DO 694 BY 1 STEP ; 5. 執行 File Import DEF, 選擇 io_block_placed.def, 然後按 OK 執行 View Redraw, 在 art work window 中的 layout, 可以很清楚的看出 IO Row 位置已經被改正 Page 14

15 Place I/O Pads 1. 利用 vi 或 text editor 觀察 se 目錄下的 placeio.ioc 中,INT_VDD_0 這一個 component 是計畫放在晶片的哪一邊? 把 Internal power pad 放在晶片的上下兩邊, 可以減少發生 DRC error 的機會 2. 執行 Place IOs, 在 Place IO form 中的 Placement Mode field 中, 選擇 I/O Constraint File, 接下來在用滑鼠點一下 這個按鈕, 然後在 Place IO File form 中選擇 placeio.ioc 這個檔案, 並 turn on Evently 選項, 最後按 OK 3. 當 IO pad 擺放好之後, 確定 Object Selection Window 中, 選項 Cell 是可以被選擇的 利用 Edit Move 將右邊的 Analog IO Pads 相連在一起, 並將目前的結果儲存起來 執行 File Save As, 在 Save As form 中,Design Name field 填入 io_block_placed, 然後按 OK 觀察 art work window 中的 layout Page 15

16 Add prdiode Filler 分隔 IO Ring 上 Digital Pad 與 Analog Pad 1. 執行 Place Filler Cell Add Cells, 在 Model 部分填入 PRDIODE,Prefix 填入 PRDIODE, 然後按 Area, 在 CHIP 的右邊 IOPAD 部分, 用滑鼠拖曳出一區域, 此區域包含 IO Ring 上 Digital Pad 與 Analog Pad 相連空 IO row 的兩端端, 然後按 OK 2. 當 Add PRDIODE Filler 指令執行完畢之後, 首先仔細觀察 message window, 剛剛加入了多少個 PRDIODE 的 filler cell?, 再觀察 art window, 在 Digital Pad 與 Analog Pad 之間有沒有增加 PRDIODE cell? 3. 須注意的是,filler cell 被加入後, 就無法移除, 所以最好保留一個 Add PRDIODE Filler 之前的存檔, 以免重做時需要從 import verilog 開始 完成 Add PRDIODE Filler 須在作 Add IO Filler 之前即可 4. 首先確定 Object Selection Window 中, 選項 Cell 是可以被選擇的 5. 執行 Edit Move, 試著將右邊最下面剛加入的 PRDIODE 與 IOPAD 相連, 避免中間插入 digital filler Page 16

17 Add IO Filler 連接 IO Ring 1. 執行 Place Filler Cell Add Cells, 在 Model 部分填入 PFEED20Z,Prefix 填入 PFEED20Z, 然後按 OK 2. 當 Add IO Filler 指令執行完畢之後, 首先仔細觀察 message window, 剛剛加入了多少個 PFEED20Z 的 filler cell?, 再觀察 art window, 在 IO pad 之間有沒有增加 io cell? 3. 在執行一次 Add Cells, 這次在 Model 填入 PFEED10Z,Prefix 填入 PFEED10Z, 按 OK 這次又加入多少 filler cell? 4. 重複上面的步驟, 分別加入 PFEED8Z PFEED5Z PFEED4Z PFEED2Z PFEED1Z 五種 filler 5. 全部加完後,filler 應該將所有 IO pad 間的空隙填滿, 連接完整個 IO pad ring, 接下來利用 zoom in 來觀察 IO pad 之間, 是否有將每一個 IO pad 以及 CORNER pad 連接起來 6. 將目前的結果儲存起來 執行 File Save As,Design Name field 填入 ioplaced, 然後按 OK 7. 須注意的是,filler cell 被加入後, 就無法移除, 所以最好保留一個 Add IO Filler 之前的存檔, 以免重做時需要從 import verilog 開始 完成 Add IO Filler 須在作 Wroute 之前即可 Page 17

18 Plan Power 規劃晶片中的 power routing 1. 在 Silicon Ensemble window 中執行 Route Plan Power,Silicon Ensemble 程式會開啟 plan power tool box, 內容包括 Add Rings Add Stripes Delete Stripes 等等工具 2. 觀察 art work window 中,IMatrix8x8 block 周圍 core area 周圍 IO pad 與 IMatrix8x8/core area 之間 以及 IMatrix8x8 block 與 core area 之間是否有黃色虛線的標示? 這些黃色虛線是 plan power tool 預先找出來的 power routing path, 可以利用 Plan Power Tool Box 中的 Query Pwr Path 來檢查 Silicon Ensemble 規劃的 power path 3. 在這個範例中,IMatrix8x8 block 已包含 power ring, 故需利用 Plan Power Tool Box 中的 Delete Pwr Path 來消除掉 Silicon Ensemble 規劃的 power routing path, 完成後在 PP Delete Power Path 中, 按 Close 4. 用滑鼠按下 Plan Power tool box 中的 Add Ring 按鈕, 在 PP Add Rings form 中的 Primary Ring 部分做下列設定 : Nets VDD VSS Horizontal Ring Layer METAL3 Horizontal Core Ring Width 40 Horizontal Core Ring Spacing Center Horizontal Block Ring Width 40 Vertical Ring Layer METAL2 Vertical Core Ring Width 40 Vertical Core Ring Spacing Center Page 18

19 Vertical Block Ring Width 40 填完之後按 OK 當 Silicon Ensemble 完成 power ring routing 之後, 仔細地檢查 art work window 中的 layout, 確定每一條 power path 中都含有 vdd! 和 gnd! 的 power ring 5. 如果步驟 3 和步驟 4 的結果沒有問題的話, 按 Close 把 Plan Power Tool Box 關掉, 將目前的結果儲存起來 執行 File Save As, 在 Save As form 中,Design Name field 填入 plan_power, 然後按 OK Placement 練習使用 Qplace 來做 placement 1. 在 Silicon Ensemble 程式中執行 Place Cells, 在 Place Cells form 中, 將 Generate Congestion Map 選項打開, 並用滑鼠按一下 Variable 按鈕 ; 在 Environment Variables form 中設定 QPLACE.CUT.RATIO 的值為 -1, 然後按 OK 2. 觀察 Silicon Ensemble 程式的 message window, 是否能找出 Silicon Ensemble 在執行 placement 時, 實際上分為下面幾個程序 : 1 st pass global placement 2 nd pass global placement 3 rd pass global placement 4 th pass global placement 5 th pass global placement detail placement annealing refinement routability analysis 3. 當程式執行完畢之後, 利用滑鼠捲動 message window, 尋找下列的訊息, 並記錄 message window 中的值 : Maximum net crossings across horizontal cuts (HMAX) = Maximum net crossings across vertical cuts (VMAX) = Total wire length = 另外觀察 art work window 中是否有紅色 黃色 藍色等等的線段? 這些就是 congestion map, 用來顯示 placement 之後,Silicon Ensemble 程式預估將來繞線壅塞的程度 依據觀察, 將來晶片在水平方向還是垂直方向的繞線比較壅塞? 4. 搜尋 message window 中 : (from default) cutratio set to 在 Silicon Ensemble 中,cut ratio 的定義是 HMAX / VMAX 如果希望 Silicon Ensemble 能夠花更多力氣來最佳化晶片中水平方向的繞線 ( 降低 VMAX 的值, 也就是減少水平方向的繞線 ), 可以將 cut ratio 的值設定的大一些 Page 19

20 5. 將目前的結果儲存起來 執行 File Save As, 在 Save As form 中,Design Name field 填入 placed, 然後按 OK Route Power Stripe 1. 在 Silicon Ensemble 程式中執行 Route Connect Ring, 首先在 Connect Ring form 中做下列設定, 然後按 Apply: Nets Stripe Block IO Pad IO Ring Follow pins VDD VSS On 當 connect ring 指令執行完畢之後, 首先仔細觀察 message window, 找尋下列訊息 : End routing with 0 open. 接下來利用 zoom in 來觀察 power stripe 與 power ring 之間, 是否已經連接起來 Connect Block Power 如果數位電路中有 Ram 或 Rom Block 時, 依下列步驟執行, 否則跳過, 直接進行下一步驟 1. 接上面步驟, 在 Connect Ring form 中做下列設定, 然後按 Apply: Nets Stripe Block All Ports Maximum Width Selected Blocks IO Pad IO Ring Follow pins vdd! gnd! On On 當 connect ring 指令執行完畢之後, 首先仔細觀察 message window, 找尋下列訊息 : End routing with 0 open. 接下來利用 zoom in 來觀察 Ram 或 Rom block 的 power pin 與 power ring 之間, 是否已經連接起來 Connect Power Pad 1. 接上面步驟, 在 Connect Ring form 中做下列設定, 然後按 Apply: Page 20

21 Nets Stripe Block IO Pad All Ports Maximum width Layer IO Ring Follow pins VDD VSS On On 當 connect ring 指令執行完畢之後, 首先仔細觀察 message window, 找尋下列訊息 : End routing with 0 open. 接下來利用 zoom in 來觀察左右兩個 internal power pad 的 power pin 與 power ring 之間, 是否已經連接起來 Connect Standard Cell Power 1. 接上面步驟, 在 Connect Ring form 中做下列設定, 然後按 OK: Nets Stripe Block IO Pad IO Ring Follow pins Pin Width Layer VDD VSS On 當 connect ring 指令執行完畢之後, 首先仔細觀察 message window, 找尋下列訊息 : End routing with 0 open. 接下來利用 zoom in 來觀察 standard cell rows 左右兩側 standard cell 的 power pin 與 power ring 之間, 是否已經連接起來 Use Ultra Router 1. 繼續 connect_ring design, 在 Silicon Ensemble 程式中執行 Route WRoute, 在 Wroute form 中做下列設定, 然後按 OK: Global Route Only Global and Final Route Auto Search And Repair Incremental Final Route Timing Driven Routing On On Page 21

22 2. 當 ultra router 執行完畢之後, 利用滑鼠捲動 message window, 並搜尋下面的訊息 : Total wire length = Total number of vias = Total number of violations = Total number of over capacity gcells = 3. 如果 routing 完畢的結果有任何 violation 的話, 可以使用 incremental Wroute 來消除這些 violation: 在 Silicon Ensemble 程式中執行 Route WRoute, 在 Wroute form 中做下列設定, 然後按 OK: Global Route Only Global and Final Route Incremental Final Route Redo Global Route for violations Timing Driven Routing On On 觀察 message window,silicon Ensemble 會報告原本 violation 的數目, 以及重新繞線後 violation 的數目 修復後 violation 的數目應該為 0 4. 將目前的結果儲存起來 執行 File Save As, 在 Save As form 中,Design Name field 填入 autorouted, 然後按 OK Page 22

23 Prepare for Layout Verification 到目前這個階段, 晶片基本上已經完成, 但是在 tape out 之前仍須做 DRC ERC LVS 等等 驗證的動作 Export GDSII and Verilog Files for Your Design 1. 繼續 autorouted design, 在 Silicon Ensemble 程式中執行 File Export GDS II, 在 Export GDSII form 中,GDS-II File 設定為 autorouted.gds2,map File 選擇 gds2.map, 點選 Variables, 在 OUTPUT.ORIGIN.X 欄位中填入 , 在 OUTPUT.ORIGIN.Y 欄位中填入 , 然後按 OK 當程式執行完畢之後, 應該可以在 se 目錄下看到一新檔案 autorouted.gds2 2. 在 Silicon Ensemble 程式中執行 File Export Verilog, 在 Output Verilog Filename 欄位中填入 autorouted.v, 選項 Output Power & Ground Ports turn off, 然後按 OK 在 se 目錄下可看到一新檔案 autorouted.v 3. 將 autorouted.v 檔案拷貝至 ~/MSS_Lab/Calibre/LVS/run 目錄下 ; 將 autorouted.gds2 檔案拷貝至 ~/MSS_Lab/icfb unix% cp autorouted.v ~/MSS_Lab/Calibre/LVS/run unix% cp autorouted.gds2 ~/MSS_Lab/icfb 4. 執行 File Exit, 不要懷疑, 已經不需要 SE 了 Read into DFII Library SE 所產生的 GDSII 檔必須讀入 reference library, 所以必須先要 stream in 再做 stream out 產生 GDSII 檔, 才能繼續完成 DRC, LVS, tape out 1. 從現在起所使用軟體為 icfb, 所以需要換個目錄執行 icfb unix% cd ~/MSS_Lab/icfb 2. 在 icfb 目錄下執行 icfb: unix% icfb & 當 icfb 程式的 CIW 視窗出現後, 注意 CIW 中的程式版本必須至少為 版 ( 如下 ): icfb.exe version /16/ 在 CIW 中執行 File Import Stream, 在 Stream In form 中, 先進入 options 選項, 將 Case Sensitivity preserve 和 Retain Reference Library (No Merge) turn on, 在 Reference Library Order 欄位中填入 tcb773p tpz773pn tpz773pn_analog_100a analog, 然後按 OK; 回到 Stream In form, 在 Input File 欄位中填入 autorouted.gds2, 在 Library Name 欄位中填入 Training, 然後按 OK 此時在 Training Library 中,CHIP Cell 多出一個 layout Page 23

24 view, 打開 layout view 檢查是否正確 利用 Metal4 連接 Modify IMatrix8x8 Power & IO Analog Block Power & IO 需要用手動修改連線, 例如加大 Metal 寬度 1. 利用 Metal4 將 PRDIODE 的 ESD VDD 和 VSS 與其他 Pad 相連接 2. 先 delete TAVDD, TAVSS, Y 等 analog 訊號線 3. 利用 Metal2 和 Metal1( 寬度可為 20um) 將 Analog Block 中右上方的 TAVDD Pin 連接至 Analog Power Pad 的 TAVDD Pin 上 4. 利用 Metal2 和 Metal1( 寬度可為 20um) 將 Analog Block 中右下方的 TAVSS Pin 連接至 Analog Power Pad 的 TAVSS Pin 上 Page 24

25 5. 例用 Metal3 Metal2 和 Metal1( 寬度可為 28um) 將 Analog Block 右方中間的 Y Pin 連接至 Analog IO Pad 上 Stream out GDSII 1. 在 CIW 中執行 File Export Stream, 在 Stream Out form 中, 先進入 options 選項, 將 Case Sensitivity preserve turn on ( 請注意 :Retain Reference Library (No Merge) 必須 turn off), 然後按 OK; 回到 Stream In form, 在 Output File 欄位中填入 CHIP.gds, 然後按 OK 此時在 icfb 目錄中, 多出一個 CHIP.gds 2. 將 CHIP.gds 檔案拷貝至 ~/MSS_Lab/Calibre/DRC/run ~/MSS_Lab/Calibre/LVS/run 等目錄下 unix% cp CHIP.gds ~/MSS_Lab/Calibre/DRC/run unix% cp CHIP.gds ~/MSS_Lab/Calibre/LVS/run Design Rule Check 1. 設定 Calibre DRC rule 環境 : A. 設定 Mentor license: unix% source /usr/mentor/cic_setup/calibre.csh B. 進入 Calibre DRC rule 目錄 unix% cd ~/MSS_Lab/Calibre/DRC C. 將 CM35P5_3M.ant.22b CM35S5_4M.22b tsmc35drc.cal 檔案拷貝至 ~/MSS_Lab/Calibre/DRC/run 目錄 unix% cp CM35P5_3M.ant.22b ~/MSS_Lab/Calibre/DRC/run unix% cp CM35S5_4M.22b ~/MSS_Lab/Calibre/DRC/run unix% cp tsmc35drc.cal ~/MSS_Lab/Calibre/DRC/run D. 進入 ~/MSS_Lab/Calibre/DRC/run 目錄, 並指定 Calibre-drc-cur 至 CM35S5_4M.22b unix% cd ~/MSS_Lab/Calibre/DRC/run unix% ln s CM35S5_4M.22b Calibre-drc-cur 2. 編輯 tsmc35drc.cal 檔案 A. 修改檔案中 LAYOUT PATH 的 Layout 檔案名稱為 CHIP.gds B. 修改檔案中 LAYOUT PRIMARY 的 Primary Cell 名稱為 CHIP C. 修改檔案中 INCLUDE 以指定使用 Calibre-drc-cur 為最新版本之 0.35um TSMC Calibre DRC rule 3. 執行 Calibre 程式 Page 25

26 unix% calibre drc hier tsmc35drc.cal 4. 檢視結果 (CHIP.drc.summary / CHIP.drc.results ) Layout versus Schematic (LVS) 1. 設定 Calibre LVS rule 環境 : A. 進入 Calibre LVS rule 目錄 unix% cd ~/MSS_Lab/Calibre/LVS B. 將 ~/MSS_Lab/Calibre/LVS 目錄下所有檔案拷貝至 ~/MSS_Lab/Calibre/LVS/run 目錄下 unix% cp *.* ~/MSS_Lab/Calibre/LVS/run C. 進入 ~/MSS_Lab/Calibre/LVS/run 目錄, 並指定 Calibre-lvs-cur 至 cali035pmm5v_2p 4M.lvs unix% cd ~/MSS_Lab/Calibre/LVS/run unix% ln s cali035pmm5v_2p4m.lvs Calibre-lvs-cur 2. 利用 vi 或是 textedit 編輯器打開 tsmc35_lvs_ms.v, 將 Analog Block 之 Verilog module 填寫進去, 如下 : module IMatrix8x8 ( J, T, Y ); input [6:0] J; input [6:0] T; output Y; endmodule 3. 利用 vi 或是 textedit 編輯器打開 tsmc35_lvs_ms.spi, 將 Analog Block 之 subckt 填寫進去, 如下 :.SUBCKT IMatrix8x8 J[6] J[5] J[4] J[3] J[2] J[1] J[0] T[6] T[5] T[4] +T[3] T[2] T[1] T[0] Y.ENDS 4. 將 Gate Level Verilog Netlist (autorouted.v) 轉成 Spice Netlist(autoRouted.spi) unix% v2lvs -v autorouted.v -l tsmc35_lvs_ms.v -o CHIP.spi -s tsmc35_lvs_ms.spi -c cic_ -n 5. 編輯 tsmc35lvs.cal A. 修改檔案中 LAYOUT PATH 的 Layout 檔案名稱為 CHIP.gds B. 修改檔案中 LAYOUT PRIMARY 的 Primary Cell 名稱為 CHIP Page 26

27 C. 修改檔案中 SOURCE PATH 的 SPICE 檔案名稱為 CHIP.spi D. 修改檔案中 SOURCE PRIMARY 的 Primary Cell 名稱為 CHIP E. 修改檔案中 INCLUDE 以指定使用 Calibre-lvs-cur 為最新版本之 0.35um TSMC Calibre LVS rule 位置 6. 利用 vi 或是 textedit 編輯器打開 cali035pmm5v_2p4m.lvs, 將 Analog Block 設為 LVS BOX, 如下 : LVS BOX IMatrix8x8 7. 執行 Calibre 程式 unix% calibre lvs spice layout.spi hier auto tsmc35lvs.cal 8. 檢視結果 (CHIP.lvs.report / CHIP.lvs.report.ext ) Page 27

SSL VPN User Manual (SSL VPN 連線使用手冊 )

SSL VPN User Manual (SSL VPN 連線使用手冊 ) SSL VPN User Manual (SSL VPN 連線使用手冊 ) 目錄 前言 (Preface) 1. ACMICPC 2018 VPN 連線說明 -- Pulse Secure for Windows ( 中文版 ):... 2 2. ACMICPC 2018 VPN 連線說明 -- Pulse Secure for Linux ( 中文版 )... 7 3. ACMICPC 2018

More information

PC Link Mode. Terminate PC Link? Esc. [GO]/[Esc] - - [GO]/[Esc] 轉接座未放滿. Make auto accord with socket mounted? [GO]/[Esc] Copy to SSD E0000

PC Link Mode. Terminate PC Link? Esc. [GO]/[Esc] - - [GO]/[Esc] 轉接座未放滿. Make auto accord with socket mounted? [GO]/[Esc] Copy to SSD E0000 Start SU-6808 EMMC Programmer V.0bd7 [ ]Link PC / [ ]Menu [ ] >.Select project.make new project.engineer mode.reset counter 5.Link to PC [ ] PC disconnected PC connected Select project SEM0G9C_A.prj Terminate

More information

港專單一登入系統 (SSO) 讓本校的同學, 全日制及兼職老師只要一個登入帳戶, 便可同時使用由本校提供的網上系統及服務, 包括 Blackboard 網上學習平台, 港專電郵服務, 圖書館電子資料庫及其他教學行政系統.

港專單一登入系統 (SSO) 讓本校的同學, 全日制及兼職老師只要一個登入帳戶, 便可同時使用由本校提供的網上系統及服務, 包括 Blackboard 網上學習平台, 港專電郵服務, 圖書館電子資料庫及其他教學行政系統. 港專單一登入系統 (SSO) 讓本校的同學, 全日制及兼職老師只要一個登入帳戶, 便可同時使用由本校提供的網上系統及服務, 包括 Blackboard 網上學習平台, 港專電郵服務, 圖書館電子資料庫及其他教學行政系統. 港專單一登入網站網址 http://portal.hkct.edu.hk (HKCT 之教職員, 學生 ) http://portal.ctihe.edu.hk (CTIHE 之教職員,

More information

Oxford isolution. 下載及安裝指南 Download and Installation Guide

Oxford isolution. 下載及安裝指南 Download and Installation Guide Oxford isolution 下載及安裝指南 Download and Installation Guide 系統要求 個人電腦 Microsoft Windows 10 (Mobile 除外 ) Microsoft Windows 8 (RT 除外 ) 或 Microsoft Windows 7 (SP1 或更新版本 ) ( 網上下載 : http://eresources.oupchina.com.hk/oxfordisolution/download/index.html)

More information

一般來說, 安裝 Ubuntu 到 USB 上, 不外乎兩種方式 : 1) 將電腦上的硬碟排線先予以排除, 將 USB 隨身碟插入主機, 以一般光碟安裝方式, 將 Ubuntu 安裝到 USB

一般來說, 安裝 Ubuntu 到 USB 上, 不外乎兩種方式 : 1) 將電腦上的硬碟排線先予以排除, 將 USB 隨身碟插入主機, 以一般光碟安裝方式, 將 Ubuntu 安裝到 USB Ubuntu 是新一代的 Linux 作業系統, 最重要的是, 它完全免費, 不光是作業系統, 連用軟體都不必錢 為什麼要裝在 USB 隨身碟上? 因為, 你可以把所有的軟體帶著走, 不必在每一台電腦上重新來一次, 不必每一套軟體裝在每一台電腦上都要再一次合法授權 以下安裝方式寫的是安裝完整的 Ubuntu- 企業雲端版本 V. 11.10 的安裝過程, 若是要安裝 Desktop 版本, 由於牽涉到

More information

桌上電腦及筆記本電腦安裝 Acrobat Reader 應用程式

桌上電腦及筆記本電腦安裝 Acrobat Reader 應用程式 On a desktop or notebook computer Installing Acrobat Reader to read the course materials The Course Guide, study units and other course materials are provided in PDF format, but to read them you need a

More information

RENESAS BLE 實作課程 Jack Chen Victron Technology CO., LTD 2015 Renesas Electronics Corporation. All rights reserved.

RENESAS BLE 實作課程 Jack Chen Victron Technology CO., LTD 2015 Renesas Electronics Corporation. All rights reserved. RENESAS BLE 實作課程 2016-01-21 Jack Chen Jack.chen@victron.com.tw Victron Technology CO., LTD AGENDA CS+ & Renesas Flash Programmer 安裝 3 Renesas Flash Programmer 燒錄介紹 6 CS+ 介面介紹 11 CS+ 開啟 Project & 使用教學 14

More information

Figure 1 Microsoft Visio

Figure 1 Microsoft Visio Pattern-Oriented Software Design (Fall 2013) Homework #1 (Due: 09/25/2013) 1. Introduction Entity relation (ER) diagrams are graphical representations of data models of relation databases. In the Unified

More information

2009 OB Workshop: Structural Equation Modeling. Changya Hu, Ph.D. NCCU 2009/07/ /07/03

2009 OB Workshop: Structural Equation Modeling. Changya Hu, Ph.D. NCCU 2009/07/ /07/03 Amos Introduction 2009 OB Workshop: Structural Equation Modeling Changya Hu, Ph.D. NCCU 2009/07/02- 2 Contents Amos Basic Functions Observed Variable Path Analysis Confirmatory Factor Analysis Full Model

More information

Chapter 7. Digital Arithmetic and Arithmetic Circuits. Signed/Unsigned Binary Numbers

Chapter 7. Digital Arithmetic and Arithmetic Circuits. Signed/Unsigned Binary Numbers Chapter 7 Digital Arithmetic and Arithmetic Circuits Signed/Unsigned Binary Numbers Signed Binary Number: A binary number of fixed length whose sign (+/ ) is represented by one bit (usually MSB) and its

More information

購票流程說明 How To purchase The Ticket?

購票流程說明 How To purchase The Ticket? 購票流程說明 How To purchase The Ticket? 步驟 1: 點選 登入 Click 登入 Login (You have to login before purchasing.) 步驟 2: 若已是會員請填寫會員帳號 密碼, 點選 登入 若非會員請點選 註冊 If you are the member of PB+, Please login. If not, please register.

More information

外薦交換生線上申請系統操作說明 Instruction on Exchange Student Online Application System. [ 中文版 ] [English Version]

外薦交換生線上申請系統操作說明 Instruction on Exchange Student Online Application System. [ 中文版 ] [English Version] 外薦交換生線上申請系統操作說明 Instruction on Exchange Student Online Application System [ 中文版 ] [English Version] 線上申請流程說明 申請系統網址 : http://schwebap.nccu.edu.tw/zeweb/exgstdapply/ 1. 建立新帳號 : 請輸入姓名 生日 email 做為未來登入系統用

More information

UAK1-C01 USB Interface Data Encryption Lock USB 資料加密鎖. Specifications for Approval

UAK1-C01 USB Interface Data Encryption Lock USB 資料加密鎖. Specifications for Approval Product Definition C-MING Product Semi-finished Product OEM/ODM Product Component USB Interface Data Encryption Lock USB 資料加密鎖 Specifications for Approval Approval Manager Issued By Revision History Revision

More information

Increase Productivity and Quality by New Layout Flow

Increase Productivity and Quality by New Layout Flow Increase Productivity and Quality by New Layout Flow Jonathan / Graser 16 / Oct / 2015 Design Process Introduction CONSTRAINTS PLACEMENT FANOUT BREAKOUT ROUTING DELAY (ATE) NET-GROUP Topology & Delay Physical

More information

全面強化電路設計與模擬驗證. Addi Lin / Graser 2 / Sep / 2016

全面強化電路設計與模擬驗證. Addi Lin / Graser 2 / Sep / 2016 全面強化電路設計與模擬驗證 Addi Lin / Graser 2 / Sep / 2016 Agenda OrCAD Design Solution OrCAD Capture 功能應用 OrCAD Capture CIS 介紹 OrCAD PSpice 模擬與驗證 OrCAD Design Solution Powerful and Widely Used Design Solution Front-to-Back

More information

Twin API Guide. How to use Twin

Twin API Guide. How to use Twin Twin API Guide How to use Twin 1 目錄 一 Cycle Job------------------------------------------------------------------------------------P3 二 Twin Action Table-----------------------------------------------------------------------P4-5

More information

Version Control with Subversion

Version Control with Subversion Version Control with Subversion 指導教授郭忠義 邱茂森 95598051 1 Table of contents (1) Basic concepts of subversion (1)What is Subversion (2)Version Control System (3)Branching and tagging (4) Repository and Working

More information

Chapter 7. Signed/Unsigned Binary Numbers. Digital Arithmetic and Arithmetic Circuits. Unsigned Binary Arithmetic. Basic Rules (Unsigned)

Chapter 7. Signed/Unsigned Binary Numbers. Digital Arithmetic and Arithmetic Circuits. Unsigned Binary Arithmetic. Basic Rules (Unsigned) Chapter 7 Digital rithmetic and rithmetic Circuits igned/unsigned inary Numbers igned inary Number: binary number of fixed length whose sign (+/ ) is represented by one bit (usually M) and its magnitude

More information

購票流程說明 How To purchase The Ticket?

購票流程說明 How To purchase The Ticket? 購票流程說明 How To purchase The Ticket? 步驟 1: 已是會員請點選 登入, 選擇 2016 WTA 臺灣公開賽 Taiwan Open tickets Step1:If You are the member, please Click 登入 Click to the column: 2016 WTA 臺灣公開賽 Taiwan Open tickets Click 登入

More information

Frame Relay 訊框中繼 FRSW S0/0 S0/1

Frame Relay 訊框中繼 FRSW S0/0 S0/1 Frame Relay 訊框中繼 將路由器設定為訊框中繼交換器以進行 frame relay 實驗 : 首先練習設定兩個埠的 frame relay switch FRSW S0/0 S0/1 介面 S0/0 介面 S0/1 102 201 DLI 102 DLI 201 Router(config)# hostname FRSW FRSW(config)# frame-relay switching

More information

VB 拼圖應用 圖形式按鈕屬性 資科系 林偉川

VB 拼圖應用 圖形式按鈕屬性 資科系 林偉川 VB 拼圖應用 資科系 林偉川 圖形式按鈕屬性 Style 屬性 0 ( 標準外觀 ),1( 圖片外觀 ) Picture 屬性 圖形檔案 (VB6) image 屬性 圖形檔案 (VB.NET) Left=Top=0 Width=2052,Height=2052 共有九張圖 1.jpg 9.jpg Form1 執行時視窗為最大化 Windowstate 設為 2 2 1 執行結果 3 path$

More information

第九章結構化查詢語言 SQL - 資料定義語言 (DDL) 資料庫系統設計理論李紹綸著

第九章結構化查詢語言 SQL - 資料定義語言 (DDL) 資料庫系統設計理論李紹綸著 第九章結構化查詢語言 SQL - 資料定義語言 (DDL) 資料庫系統設計理論李紹綸著 SQL 的資料定義語言 本章內容 建立資料表 修改資料表 刪除資料表 FOREIGN KEY 外鍵條件約束與資料表關聯性 2 資料定義語言可分為下列三種 : SQL 的資料定義語言 CREATE TABLE 指令 : 用來建立一個基底關聯表, 和設定關聯表相關的完整性限制 CREATE VIEW 指令 : 用來建立一個視界,

More information

CLAD 考前準備 與 LabVIEW 小技巧

CLAD 考前準備 與 LabVIEW 小技巧 CLAD 考前準備 與 LabVIEW 小技巧 NI 技術行銷工程師 柯璟銘 (Jimmy Ko) jimmy.ko@ni.com LabVIEW 認證 Certified LabVIEW Associate Developer (LabVIEW 基礎認證 ) Certified LabVIEW Associate Developer LabVIEW 全球認證 40 題 (37 題單選,3 題複選

More information

Digital imaging & free fall of immersed sphere with wall effects

Digital imaging & free fall of immersed sphere with wall effects 量測原理與機工實驗 ( 下 ) 熱流實驗 ( 一 ) Digital imaging & free fall of immersed sphere with wall effects May 14-18, 2012 Objective: This week s lab work has two parts: (1) how to record digital video and convert it

More information

Preamble Ethernet packet Data FCS

Preamble Ethernet packet Data FCS Preamble Ethernet. packet Data FCS Destination Address Source Address EtherType Data ::: Preamble. bytes. Destination Address. bytes. The address(es) are specified for a unicast, multicast (subgroup),

More information

UNIX Basics + shell commands. Michael Tsai 2017/03/06

UNIX Basics + shell commands. Michael Tsai 2017/03/06 UNIX Basics + shell commands Michael Tsai 2017/03/06 Reading: http://www.faqs.org/docs/artu/ch02s01.html Where UNIX started Ken Thompson & Dennis Ritchie Multics OS project (1960s) @ Bell Labs UNIX on

More information

Multimedia Service Support and Session Management 鍾國麟

Multimedia Service Support and Session Management 鍾國麟 Multimedia Service Support and Session Management 鍾國麟 2003-9-31 1 1 Agenda Introduction What is Session? Definition Functions Why need Session Management 2G,Internet,3G SIP Basic Operation User Location

More information

InTANK ir2771-s3 ir2772-s3. User Manual

InTANK ir2771-s3 ir2772-s3. User Manual InTANK ir2771-s3 ir2772-s3 User Manual » InTANK...1» InTANK ir2771-s3 & ir2772-s3 產品使用說明... 10 V1.1 Introduction Thank you for purchasing RAIDON products. This manual will introduce the InTANK ir2771-s3

More information

Allegro SPB V16 Advance

Allegro SPB V16 Advance Allegro SPB V16 Advance Allegro SPB 16.2 Advance Import Logic Back Annotate Netlist Compare Advanced Placement Constraint Management Differential Pair Import Logic Other Cadence Import Logic Other 利用 Other

More information

The notice regarding Participation Ways of our global distributor video conference on Feb. 5.

The notice regarding Participation Ways of our global distributor video conference on Feb. 5. The notice regarding Participation Ways of our global distributor video conference on Feb. 5. On Feb.5, 2010 Los Angeles time, between 5:00 PM - 7:00 PM, we will convene an important global distributor

More information

C B A B B C C C C A B B A B C D A D D A A B D C C D D A B D A D C D B D A C A B

C B A B B C C C C A B B A B C D A D D A A B D C C D D A B D A D C D B D A C A B 高雄市立右昌國中 106 學年度第二學期第二次段考三年級考科答案 國文科 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. C B D C A C B A D B 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. D C B A D C A B D B 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. C B D C B B C

More information

Protech RMA Request Form

Protech RMA Request Form Applied Company: Contact Person: E-mail: DOA NO. (BY PROTECH BU): Serial Warrant No. Model Number y Status 1 2 3 4 5 6 7 Protech RMA Request Form *Defect Description Date: Page:1 of 1 DOA Regular RMA Environmen

More information

Chapter 4 (Part IV) The Processor: Datapath and Control (Parallelism and ILP)

Chapter 4 (Part IV) The Processor: Datapath and Control (Parallelism and ILP) Chapter 4 (Part IV) The Processor: Datapath and Control (Parallelism and ILP) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 4.10 Instruction-Level

More information

Quick Installation Guide for Connectivity Adapter Cable CA-42

Quick Installation Guide for Connectivity Adapter Cable CA-42 9235663_CA42_1_en.fm Page 1 Monday, September 13, 2004 11:26 AM Quick Installation Guide for Connectivity Adapter Cable CA-42 9235645 Issue 1 Nokia, Nokia Connecting People and Pop-Port are registered

More information

SOHORAID ST8-TB3 User Manual

SOHORAID ST8-TB3 User Manual SOHORAID ST8-TB3 User Manual » ST8-TB3 User Manual...1 1. Environmental Requirements...1 2. Product Appearance and Packaging Content...1 3. Hardware Requirements and Precautions...2 4. Hardware Installation...3

More information

InTANK ir2622 User Manual

InTANK ir2622 User Manual InTANK ir2622 User Manual » InTANK...1» InTANK ir2622 產品使用說明... 12 V1.2 » InTANK Introduction Thank you for purchasing RAIDON products. This manual will introduce the InTANK ir2622 Series. Before using

More information

Java 程式設計基礎班 (7) 劉根豪台大電機所網路資料庫研究室. Java I/O. Class 7 1. Class 7

Java 程式設計基礎班 (7) 劉根豪台大電機所網路資料庫研究室. Java I/O.   Class 7 1. Class 7 Java 程式設計基礎班 (7) 劉根豪台大電機所網路資料庫研究室 Email: kenliu@arbor.ee.ntu.edu.tw 1 回顧 Java I/O 2 1 Java Data Structure 動態資料結構 執行的時候可以動態變大或縮小 類型 Linked lists Stacks Queues Binary trees 3 自我參考類別 (self-referential classes)

More information

報告人 / 主持人 : 林寶樹 Colleges of Computer Science & ECE National Chiao Tung University

報告人 / 主持人 : 林寶樹 Colleges of Computer Science & ECE National Chiao Tung University 行動寬頻尖端技術跨校教學聯盟 - 行動寬頻網路與應用 MiIoT ( Mobile intelligent Internet of Things) 報告人 / 主持人 : 林寶樹 Colleges of Computer Science & ECE National Chiao Tung University Aug 14, 2015 課程簡介 課程綱要 實作平台評估 2 背景說明 目前雲端與行動寬頻緊密結合,

More information

SOHOTANK PD3500+ User Manual

SOHOTANK PD3500+ User Manual SOHOTANK PD3500+ User Manual » SOHORAID SR2 Series User Manual.3» SOHORAID SR2 系列產品使 用說明.. 14 2 Introduction Thank you for purchasing STARDOM products. This manual will introduce the SOHOTANK PD3500+ Series.

More information

InTANK ir2623-s3 User Manual

InTANK ir2623-s3 User Manual InTANK ir2623-s3 User Manual » InTANK...1» InTANK ir2623-s3 產品使用說明...12 V1.0 » InTANK Introduction Thank you for purchasing RAIDON products. This manual will introduce the IR2623-S3 Series. Before using

More information

Chapter 7 Pointers ( 指標 )

Chapter 7 Pointers ( 指標 ) Chapter Pointers ( 指標 ) Outline.1 Introduction.2 Pointer Variable Definitions and Initialization.3 Pointer Operators.4 Calling Functions by Reference.5 Using the const Qualifier with Pointers.6 Bubble

More information

Java 程式設計基礎班 (7) 莊坤達台大電信所網路資料庫研究室. Java I/O. Class 7 1. Class 7 2

Java 程式設計基礎班 (7) 莊坤達台大電信所網路資料庫研究室. Java I/O.   Class 7 1. Class 7 2 Java 程式設計基礎班 (7) 莊坤達台大電信所網路資料庫研究室 Email: doug@arbor.ee.ntu.edu.tw Class 7 1 回顧 Java I/O Class 7 2 Java Data Structure 動態資料結構 Grow and shrink at execution time Several types Linked lists Stacks Queues Binary

More information

步驟 1: 首頁以 facebook 或 google 帳號登入, 並點選節目 Step 1:Log in with your facebook/google account, then click the show banner.

步驟 1: 首頁以 facebook 或 google 帳號登入, 並點選節目 Step 1:Log in with your facebook/google account, then click the show banner. 步驟 1: 首頁以 facebook 或 google 帳號登入, 並點選節目 Step 1:Log in with your facebook/google account, then click the show banner. 步驟 2: 填寫會員資料 Step 2:Fill out the membership form. Name ID No. Male/female Foreigner

More information

Syntest Tool 使用說明. Speaker: Yu-Hsien Cheng Adviser: Kuen-Jong Lee. VLSI/CAD Training Course

Syntest Tool 使用說明. Speaker: Yu-Hsien Cheng Adviser: Kuen-Jong Lee. VLSI/CAD Training Course Syntest Tool 使用說明 Speaker: Yu-Hsien Cheng Adviser: Kuen-Jong Lee yhc97@beethoven.ee.ncku.edu.tw VLSI/CAD Training Course Foreword Why testing? Class.2 Why Testing? Economics! Reduce test cost (enhance

More information

JAVA Programming Language Homework V: Overall Review

JAVA Programming Language Homework V: Overall Review JAVA Programming Language Homework V: Overall Review ID: Name: 1. Given the following Java code: [5 points] 1. public class SimpleCalc { 2. public int value; 3. public void calculate(){ value = value +

More information

BTC, EMPREX Wireless Keybaord +Mouse + USB dongle. 6309URF III Quick Installation Guide

BTC, EMPREX Wireless Keybaord +Mouse + USB dongle. 6309URF III Quick Installation Guide BTC, EMPREX 6309URF III Quick Installation Guide Hardware Installation 1. Plug the dongle receiver connector into your available USB port on PC. 2. Make sure the batteries of the keyboard and mouse are

More information

English G H. Package Contents. Hardware Requirements. Technical Specifications. Device Overview. MSI DS502 GAMING HEADSET User Guide

English G H. Package Contents. Hardware Requirements. Technical Specifications. Device Overview. MSI DS502 GAMING HEADSET User Guide Package Contents MSI DS502 GAMING HEADSET User Guide Hardware Requirements PC with USB port Windows 8.1/8/7/XP English Technical Specifications Headphones * Drivers : Ø40mm * Sensitivity (S.P.L) : 105

More information

AVG Anti-Virus User Manual. Document revision ( )

AVG Anti-Virus User Manual. Document revision ( ) AVG Anti-Virus 2012 User Manual Document revision 2012.01 (27.7.2011) Copyright AVG Technologies CZ, s.r.o. All rights reserved. All other trademarks are the property of their respective owners. This product

More information

虛擬機 - 惡意程式攻防的新戰場. 講師簡介王大寶, 小時候大家叫他王小寶, 長大後就稱王大寶, 目前隸屬一神祕單位. 雖然佯稱興趣在看書與聽音樂, 但是其實晚上都在打 Game. 長期於系統最底層打滾, 熟悉 ASM,C/C++,

虛擬機 - 惡意程式攻防的新戰場. 講師簡介王大寶, 小時候大家叫他王小寶, 長大後就稱王大寶, 目前隸屬一神祕單位. 雖然佯稱興趣在看書與聽音樂, 但是其實晚上都在打 Game. 長期於系統最底層打滾, 熟悉 ASM,C/C++, 王大寶, PK 虛擬機 - 惡意程式攻防的新戰場 講師簡介王大寶, 小時候大家叫他王小寶, 長大後就稱王大寶, 目前隸屬一神祕單位. 雖然佯稱興趣在看書與聽音樂, 但是其實晚上都在打 Game. 長期於系統最底層打滾, 熟悉 ASM,C/C++, 對於資安毫無任何興趣, 也無經驗, 純粹是被某壞人騙上台, 可以說是不可多得的素人講師!! 議程大綱 : 現今的 CPU 都支援虛擬化專用指令集, 讓 VM

More information

MH-3621-U3 Clone Dual SATA HDD Docking System

MH-3621-U3 Clone Dual SATA HDD Docking System MH-3621-U3 Clone CONTENTS ABOUT THE DOCKING SYSTEM... 2 HARD DRIVE INSTALLATION GUIDE... 5 CLONE OPERATION GUIDE... 6 NOTE... 8 LIMITED WARRANTY... 10 1 Thank you for purchasing MH-3621-U3 from archgon.

More information

Registering ( 註冊新帳號 )

Registering ( 註冊新帳號 ) 證券市場發展季刊線上審稿系統註冊流程指南 Registering ( 註冊新帳號 ) Unregistered visitors to a journal can normally register as a Reader, Author, and/or Reviewer. Journal Managers are able to remove the ability for visitors to

More information

EdConnect and EdDATA

EdConnect and EdDATA www.hkedcity.net Tryout Programme of Standardised Data Format for e-textbook and e-learning Platform EdConnect and EdDATA 5 December 2018 Agenda Introduction and background Try-out Programme Q&A 電子課本統一數據格式

More information

David M. Kroenke and David J. Auer Database Processing Fundamentals, Design, and Implementation

David M. Kroenke and David J. Auer Database Processing Fundamentals, Design, and Implementation David M. Kroenke and David J. Auer Database Processing Fundamentals, Design, and Implementation Chapter Six: Transforming Data Models into Database Designs 6-1 Chapter Objectives To understand how to transform

More information

Password Protection 此篇文章說明如何在程式中加入密碼保護的機制, 當程式開啟, 使用者必須先輸入使用者帳號及密碼, 若是合法使用者才能進入應用程式

Password Protection 此篇文章說明如何在程式中加入密碼保護的機制, 當程式開啟, 使用者必須先輸入使用者帳號及密碼, 若是合法使用者才能進入應用程式 Password Protection 此篇文章說明如何在程式中加入密碼保護的機制, 當程式開啟, 使用者必須先輸入使用者帳號及密碼, 若是合法使用者才能進入應用程式 Step 1. 使用 Visual C++ 6.0 產生一個 MFC Application 1) Project name: PasswordProtection 2) Project type: MFC AppWizard(exe)

More information

Common Commands in Low-Level File I/O

Common Commands in Low-Level File I/O Common Commands in Low-Level File I/O feof(fid), which refers to end-of-file, returns 1 if a previous operation set the end-of-file indicator for the specified file. tline = fgetl(fid) returns the next

More information

EZCast Docking Station

EZCast Docking Station EZCast Docking Station Quick Start Guide Rev. 2.00 Introduction Thanks for choosing EZCast! The EZCast Docking Station contains the cutting-edge EZCast technology, and firmware upgrade will be provided

More information

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容 Content 内容 A1 A2 A3 A4 A5 A6 A7 A8 A9 Login via ICTI CARE Website 通过 ICTI 关爱网站登录 Completing the Application Form 填写申请表 Application Form Created 创建的申请表 Receive Acknowledgement Email 接收确认电子邮件 Receive User

More information

EZCast Wire User s Manual

EZCast Wire User s Manual EZCast Wire User s Manual Rev. 2.01 Introduction Thanks for choosing EZCast! The EZCast Wire contains the cutting-edge EZCast technology, and firmware upgrade will be provided accordingly in order to compatible

More information

What is a Better Program?

What is a Better Program? 軟體的特性 What is a Better Program? 軟體之所謂軟 因為沒有 硬性 不可變 不可挑戰的規則 好處 : 彈性很大, 山不轉路轉, 沒有標準答案, 正常運作就好 C++ Object Oriented Programming 壞處 : 很多小問題合在一起不斷放大, 到處藏污納垢, 沒有標準答案, 不知道到底對了沒有 解決方法 Pei-yih Ting Coding styles

More information

微算機原理與實驗 Principle of Microcomputer(UEE 2301/1071 )

微算機原理與實驗 Principle of Microcomputer(UEE 2301/1071 ) 微算機原理與實驗 (UEE 2301/1071 ) Chap 6. MCS-51 Instruction sets 宋開泰 Office:EE709 Phone:5731865( 校內分機 :31865) E-mail:ktsong@mail.nctu.edu.tw URL:http://isci.cn.nctu.edu.tw 1 Lab#3 5 x 7 單色點矩陣 LED(Dot Matrix)

More information

微處理機系統 吳俊興高雄大學資訊工程學系. February 21, What are microprocessors (µp)? What are the topics of this course? Why to take this course?

微處理機系統 吳俊興高雄大學資訊工程學系. February 21, What are microprocessors (µp)? What are the topics of this course? Why to take this course? 微處理機系統 吳俊興高雄大學資訊工程學系 February 21, 2005 processor, central processing unit (CPU) A silicon chip which forms the core of a microcomputer The heart of the microprocessor-based computer system Concept of what

More information

Gigabyte and Giga-byte are trademarks of Giga-byte Technology Co., Ltd. Microsoft and Windows XP are trademarks of Microsoft Corporation.

Gigabyte and Giga-byte are trademarks of Giga-byte Technology Co., Ltd. Microsoft and Windows XP are trademarks of Microsoft Corporation. Copyright Feb, 2006 This publication, including all photographs, illustrations and software, is protected under international copyright laws, with all rights reserved. Neither this manual, nor any of the

More information

EZCast Wire. User s Manual. Rev. 2.00

EZCast Wire. User s Manual. Rev. 2.00 EZCast Wire User s Manual Rev. 2.00 Introduction Thanks for choosing EZCast! The EZCast Wire contains the cutting-edge EZCast technology, and firmware upgrade will be provided accordingly in order to compatible

More information

WriteAhead 遨遊雲端暨 行動學習應 用 研討會 雲端時代的資訊教育與語 言學習 介紹互動式寫作環境 張俊盛 清華 大學資訊 工程系及研究所 2015 年 4 月 21 日 ( 二 ) 上午 10:00 ~ 12:30 台北市 立 大同 高中 行政 大學 5 樓階梯教室

WriteAhead 遨遊雲端暨 行動學習應 用 研討會 雲端時代的資訊教育與語 言學習 介紹互動式寫作環境 張俊盛 清華 大學資訊 工程系及研究所 2015 年 4 月 21 日 ( 二 ) 上午 10:00 ~ 12:30 台北市 立 大同 高中 行政 大學 5 樓階梯教室 遨遊雲端暨 行動學習應 用 研討會 雲端時代的資訊教育與語 言學習 介紹互動式寫作環境 WriteAhead 張俊盛 清華 大學資訊 工程系及研究所 2015 年 4 月 21 日 ( 二 ) 上午 10:00 ~ 12:30 台北市 立 大同 高中 行政 大學 5 樓階梯教室 高中資訊教育 培養現代公 民的資訊素養 並不是如何使 用 生產 力軟體 也不只是寫程式 了解現在商業軟體並 非唯 一的選擇,

More information

Lotusphere Comes to You 輕鬆打造 Web 2.0 入口網站 IBM Corporation

Lotusphere Comes to You 輕鬆打造 Web 2.0 入口網站 IBM Corporation 輕鬆打造 Web 2.0 入口網站 2007 IBM Corporation 議程 Web 2.0 新特性一覽 Web 2.0 入口網站主題開發 用戶端聚合技術 PortalWeb2 主題 開發 AJAX portlets 程式 總結 JSR 286 及 WSRP 2.0 對 AJAX 的支援 AJAX 代理 用戶端 portlet 編程模型 Web 2.0 特性一覽 WP 6.1 提供的 Web

More information

Invitation to Computer Science 5 th Edition. Chapter 8 Information Security

Invitation to Computer Science 5 th Edition. Chapter 8 Information Security Invitation to Computer Science 5 th Edition Chapter 8 Information Security CIA Triad of Information Security Ensuring that data can be modified only by appropriate mechanisms Ensuring that data is protected

More information

描述性資料採礦 Descriptive Data Mining

描述性資料採礦 Descriptive Data Mining 描述性資料採礦 Descriptive Data Mining 李御璽 (Yue-Shi Lee) 銘傳大學資訊工程學系 leeys@mail.mcu.edu.tw Agenda Cluster Analysis ( 集群分析 ) 找出資料間的內部結構 Association Rules ( 關聯規則 ) 找出那些事件常常一起出現 Sequence Clustering ( 時序群集 ) Clustering

More information

Print Specs: HP Deskjet C5100 All-in-One series Setup Guide

Print Specs: HP Deskjet C5100 All-in-One series Setup Guide Printing supplier must not mnipulte or modify ny electronic files without HP pprovl. Print Specs: HP Deskjet C5100 All-in-One series Setup Guide Detils Pge Trim Colors See Prt numbers with this order below

More information

SPI 功能使用方法 Application Note

SPI 功能使用方法 Application Note 1 適用產品 :SM59R16A2 / SM59R08A2 2 SPI 使用概述 : SPI 通信使用 4 個引腳, 分別為 SPI_: 當 master 時資料輸出 ; 當 slave 時資料輸入 SPI_: 當 master 時資料輸入 ; 當 slave 時資料輸出 SPI_SCK: SPI 的時脈信號由 master 主控產生 ; 資料 ( 輸出及輸入 ) 和時脈同步 SPI_SS: 此引腳功能唯有當作

More information

使用 TensorFlow 設計矩陣乘法計算並轉移執行在 Android 上 建國科技大學資管系 饒瑞佶 2017/8

使用 TensorFlow 設計矩陣乘法計算並轉移執行在 Android 上 建國科技大學資管系 饒瑞佶 2017/8 使用 TensorFlow 設計矩陣乘法計算並轉移執行在 Android 上 建國科技大學資管系 饒瑞佶 2017/8 Python 設計 Model import tensorflow as tf from tensorflow.python.tools import freeze_graph from tensorflow.python.tools import optimize_for_inference_lib

More information

Briefing Session on 2013 HKDSE ICT Exam. 22/23 Nov 2013

Briefing Session on 2013 HKDSE ICT Exam. 22/23 Nov 2013 Briefing Session on 2013 HKDSE ICT Exam 22/23 Nov 2013 1 Breakdown of elective No. of candidates No. of schools 1 7,759 490 2A 862 55 2B 269 27 2C 5,607 367 2D 1,239 104 2 Options offered No. of options

More information

黃河凱. Kaiser Huang 巨匠電腦北區 / 新竹認證中心認證講師國立新竹教育大學數位學習科技研究所在職生微軟原廠認證講師 MCT

黃河凱. Kaiser Huang 巨匠電腦北區 / 新竹認證中心認證講師國立新竹教育大學數位學習科技研究所在職生微軟原廠認證講師 MCT 黃河凱 Kaiser Huang 巨匠電腦北區 / 新竹認證中心認證講師國立新竹教育大學數位學習科技研究所在職生微軟原廠認證講師 MCT 2007-2014 微軟嵌入式系統 TTT 教育認證講師 kai168@gmail.com. MCT, MCITP-SA/EA, MCTS-WS2008/CE6/XPe, LPIC 第一堂 : 系統安裝與升級設定的簡介 全新式安裝的步驟與方法 從 DVD 或 USB

More information

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet 前 4 个字节都是一样的 0 8 16 31 类型代码检验和 ( 这 4 个字节取决于 ICMP 报文的类型 ) ICMP 的数据部分 ( 长度取决于类型 ) ICMP 报文 首部 数据部分 IP 数据报 ICMP: Internet Control Message

More information

Software Architecture Case Study: Applying Layer in SyncFree

Software Architecture Case Study: Applying Layer in SyncFree Software Architecture Case Study: Applying Layer in SyncFree Chien-Tsun Chen Department of Computer Science and Information Engineering National Taipei University of Technology, Taipei 06, Taiwan ctchen@ctchen.idv.tw

More information

Ubiquitous Computing Using SIP B 朱文藝 B 周俊男 B 王雋伯

Ubiquitous Computing Using SIP B 朱文藝 B 周俊男 B 王雋伯 Ubiquitous Computing Using SIP B91902039 朱文藝 B91902069 周俊男 B91902090 王雋伯 Outline Ubiquitous Computing Using SIP 1. Introduction 2. Related Work 3. System Architecture 4. Service Example 1. Introduction

More information

Mid-term EXAM. 11/14/2009

Mid-term EXAM. 11/14/2009 Mid-term EXAM. 11/14/2009 1. (15%) Data Compression a) Encode the following characters using Huffman coding with the given frequencies: A(12), B(8), C(9), D(20), E(31), F(14), G(8) (-1 point if theree

More information

多元化資料中心 的保護策略 技術顧問 陳力維

多元化資料中心 的保護策略 技術顧問 陳力維 多元化資料中心 的保護策略 技術顧問 陳力維 現代化的資料保護架構 使用者自助服務 任何儲存設備 影響低 多種還原點選擇 (RPO) Application Server 完整全面的雲端整合 Network Disk Target 容易操作與深入各層的報表能力 管理快照與複製能力 Primary Storage 快速 可靠的還原 (RTO) 完整的磁帶 & 複製管理 單一整合的解決方案 企業級的擴充性

More information

Clonezilla Live 實務與應用

Clonezilla Live 實務與應用 Clonezilla Live 實務與應用 孫振凱蔡育欽 http://drbl.nchc.org.tw, http://drbl.sourceforge.net http://clonezilla.nchc.org.tw, http://clonezilla.org.tw 國家高速網路與計算中心 National Center for High-Performance Computing (NCHC)

More information

用於網頁版權保護的資訊隱藏方法. A Steganographic Method for Copyright Protection of Web Pages

用於網頁版權保護的資訊隱藏方法. A Steganographic Method for Copyright Protection of Web Pages 用於網頁版權保護的資訊隱藏方法 A Steganographic Method for Copyright Protection of Web Pages Ya-Hui Chang( 張雅惠 ) and Wen-Hsiang Tsai( 蔡文祥 ) Department of Computer & Information Science National Chiao Tung University

More information

微軟商務用 Skype 雲端視訊會議及與所需頻寬介紹

微軟商務用 Skype 雲端視訊會議及與所需頻寬介紹 微軟商務用 Skype 雲端視訊會議及與所需頻寬介紹 傳統視訊會議 : 視訊會議解決方案 以硬體設備為主, 內建專屬視訊會議軟體, 要增加連線數量就必須加購昂貴的 MCU Server, 整套設備的價格多在數百萬之譜 軟體式視訊會議 : 在現有的基礎設備上, 強化整合通訊功能 (UC), 再結合視訊會議功能 (VC, Video Conference), 對於公司的網路系統或是通訊系統做更有效率的運用

More information

Important Safety Instructions

Important Safety Instructions Quick Start Guide It's important to read this user manual prior to using your new product for the first time. Important Safety Instructions Electrical Device Warning Do not use the laptop in the environment

More information

RP-300. Receipt Printer User s Manual. All specifications are subject to change without notice

RP-300. Receipt Printer User s Manual.  All specifications are subject to change without notice RP-300 Receipt Printer User s Manual All specifications are subject to change without notice TABLE OF CONTENTS 1. Parts Identifications 3 2. Setting up the printer 4 2.1 Unpacking 4 2.2 Connecting the

More information

私有雲公有雲的聯合出擊 領先的運算, 儲存與網路虛擬化技術 靈活的計費模式與經濟性 支援廣大的商業應用場景 涵蓋各類型雲服務 類標準的企業資料中心架構 全球規模與快速部署. 聯合設計的解決方案可為客戶提供最佳的 VMware 和 AWS

私有雲公有雲的聯合出擊 領先的運算, 儲存與網路虛擬化技術 靈活的計費模式與經濟性 支援廣大的商業應用場景 涵蓋各類型雲服務 類標準的企業資料中心架構 全球規模與快速部署. 聯合設計的解決方案可為客戶提供最佳的 VMware 和 AWS 私有雲公有雲的聯合出擊 領先的運算, 儲存與網路虛擬化技術 支援廣大的商業應用場景 類標準的企業資料中心架構 靈活的計費模式與經濟性 涵蓋各類型雲服務 全球規模與快速部署 聯合設計的解決方案可為客戶提供最佳的 VMware 和 AWS VMware Cloud on AWS 使用場景 A B C D 雲端遷移資料中心延伸災難備援次世代應用程式 Consolidate Migrate Maintain

More information

Quick Installation Guide

Quick Installation Guide IP8172/72P Fixed Network Camera Quick Installation Guide English 5MP Full HD Focus Assist Warning Before Installation Power off the Network Camera as soon as smoke or unusual odors are detected. Keep the

More information

游家德 Jade Freeman 群智信息 / 敦群數位資深架構顧問

游家德 Jade Freeman 群智信息 / 敦群數位資深架構顧問 游家德 Jade Freeman 群智信息 / 敦群數位資深架構顧問 搜尋對企業的需求方案關係 微軟全面性的搜尋方案及應用價值 Enterprise Search 的基本架構 Microsoft Search Solution 物件模型與客製開發 Microsoft Search Solution 應用與案例 Q&A 每人每天會花 10 分鐘在找企業所需文件, 且還可能找不到! 整合的資料大都雜亂無章,

More information

Operating Systems 作業系統

Operating Systems 作業系統 Chapter 7 Operating Systems 作業系統 7.1 Source: Foundations of Computer Science Cengage Learning Objectives 學習目標 After studying this chapter, students should be able to: 7.2 Understand the role of the operating

More information

Oracle Database 11g Overview

Oracle Database 11g Overview Oracle Database 11g Overview Charlie 廖志華倍力資訊資深系統顧問 Great Year for Oracle Database Database Market Database for SAP 14.3% 48.6% 9% 3% 17% 4% 15.0% 22.0% 67% Oracle IBM Microsoft Other

More information

Chinese (Traditional) Style Guide

Chinese (Traditional) Style Guide Chinese (Traditional) Style Guide Published: June, 2017 Microsoft Chinese (Traditional) Style Guide Contents 1 About this style guide... 4 1.1 Recommended style references... 4 2 Microsoft voice... 5 2.1

More information

如何查看 Cache Engine 缓存中有哪些网站 /URL

如何查看 Cache Engine 缓存中有哪些网站 /URL 如何查看 Cache Engine 缓存中有哪些网站 /URL 目录 简介 硬件与软件版本 处理日志 验证配置 相关信息 简介 本文解释如何设置处理日志记录什么网站 /URL 在 Cache Engine 被缓存 硬件与软件版本 使用这些硬件和软件版本, 此配置开发并且测试了 : Hardware:Cisco 缓存引擎 500 系列和 73xx 软件 :Cisco Cache 软件版本 2.3.0

More information

Port GCC to a new architecture Case study: nds32

Port GCC to a new architecture Case study: nds32 HelloGCC 2013 Port GCC to a new architecture Case study: nds32 2013.11.16 Chung-Ju Wu ( 吳中如 ) www.andestech.com WWW.ANDESTECH.COM Overview of Andes Technology Corporate Highlights Founded in 2005 in Hsinchu

More information

Ch. 2: Getting Started

Ch. 2: Getting Started Ch. 2: Getting Started 1 About this lecture Study a few simple algorithms for sorting Insertion Sort Selection Sort, Bubble Sort (Exercises) Merge Sort Show why these algorithms are correct Try to analyze

More information

Simulation of SDN/OpenFlow Operations. EstiNet Technologies, Inc.

Simulation of SDN/OpenFlow Operations. EstiNet Technologies, Inc. Simulation of SDN/OpenFlow Operations EstiNet Technologies, Inc. Agenda: (1) 模擬器簡介 (2) 模擬器的基本操作 (3) 如何建置一個 SDN Topology (5) 如何下達指令並觀察 Flow Table, Group Table 與 Meter Table (5) 如何用 SDN 下達 QoS 指令並觀察結果 (6)

More information

VZ-P18 和 VZ-P38 專業教材提示機 完美的展示效果

VZ-P18 和 VZ-P38 專業教材提示機 完美的展示效果 VZ-P18 和 VZ-P38 專業教材提示機 完美的展示效果 無與倫比的性能 VZ-P18 和 VZ-P38 專業教材提示機 WolfVision 是一家在全球獲得成功的家族企業, 總部位於歐洲奧地利 WolfVision 在實物提示機和展示解決方案上具有 技術領導者 " 的地位, 在產品品質 技術創新可靠性和易用性方面在全球中樹立了標竿 WolfVision 的 P 系列提示機被認為是市場上最高階的設備

More information

Quick Installation Guide

Quick Installation Guide WiPG-1500 Quick Installation Guide Version: 9.0 Date: Jul 11, 2013 1 1. Package Contents WiPG-1500 device Plug&Show USB token Power Adapter (DC +5V, 2.5A) Wi-Fi Antenna x 2 Wall-mount Kit (screw x4, bracket

More information

RA8835. Dot Matrix LCD Controller Q&A. Preliminary Version 1.2. July 13, RAiO Technology Inc.

RA8835. Dot Matrix LCD Controller Q&A. Preliminary Version 1.2. July 13, RAiO Technology Inc. RAiO Dot Matrix LCD Controller Q&A Preliminary Version 1.2 July 13, 2009 RAiO Technology Inc. Copyright RAiO Technology Inc. 2009 Update History Version Date Description 1.0 July 13, 2009 Preliminary Version

More information

Scale of Fees (Applicable from 18 June 2017) Data Access Request consists of (i) Data Enquiry Request and (ii) Copy of Personal Medical Records

Scale of Fees (Applicable from 18 June 2017) Data Access Request consists of (i) Data Enquiry Request and (ii) Copy of Personal Medical Records Grantham Hospital Health Information & Records Office G/F, Main Block, 125 Wong Chuk Hang Road, Aberdeen, Hong Kong Tel.: 2518 2203 Fax: 2555 7319 Opening hours: Monday - Friday: 9 a.m. to 1 p.m. and 2:00

More information

Channel Python API Overview

Channel Python API Overview Channel Python API verview The Channel API creates a persistent connection between your application and Google servers, allowing your application to send messages to JavaScript clients in real time without

More information

FCC Compliance Statement:

FCC Compliance Statement: DECLARATION OF CONFORMITY Per FCC Part 2 Section 2. 1077(a) Responsible Party Name: G.B.T. INC. (U.S.A.) Address: 17358 Railroad Street City of Industry, CA91748 Phone/Fax No: (818) 854-9338/ (818) 854-9339

More information