Codec. WM8731 Audio Codec

Size: px
Start display at page:

Download "Codec. WM8731 Audio Codec"

Transcription

1 Codec WM8731 Audio Codec

2 Codec Coder / Decoder Audio, Video Compression/decompression signal coding 2 tj

3 WM tj

4 WM8731 Data Path Basic Connection 4 tj

5 WM8731 Data Path Basic Timing 5 tj

6 WM8731 Data Path 16 to 32 bit data Left justified mode 6 tj

7 WM Control I2C control interface 7 tj

8 WM Control 16 bit word (7 addr, 9 data) 8 tj

9 Two Wire Interface Overview 8 bit synchronous shift register used to communicate externally 9 bit total communication packet uni-directional Most often used to communicate with peripherals displays, sensors, converters Supports multiple masters and multiple slaves 4 modes of operation Master Receive Master Transmit Slave Receive Slave Transmit 9 tj

10 Two Wire Interface Overview Open drain configuration outputs only pull down pull up resistors or current sources pull up 10 tj

11 Two Wire Interface TWI Timing SDA data line SCL clock line Data must be valid during the entire positive clock cycle time Note: data changes occur during SCL low 11 tj

12 Two Wire Interface TWI Timing Special timing requirements for start transmission stop transmission repeated start transition master does not relinquish the bus in this mode 12 tj

13 Two Wire Interface TWI Timing Addressing Indicate which slave to transmit to or receive from by first transmitting the address of the desired device Often this value is hardwired via external pins on the slave device 7 bits for each address 13 tj

14 Two Wire Interface TWI Timing R/W bit indicates a read or write operation is to follow Read is active high ACK The master drives the data bus from start through the R/W bit and then releases the bus The slave then pulls down the bus in the last clock cycle to indicate a completed transmission 14 tj

15 Two Wire Interface TWI Timing ACK cont d If the master fails to see the slave pull down the bus in the 9 th clock cycle (NACK) Transmission failed Some sort of error action is required 15 tj

16 Two Wire Interface TWI Timing Data packet After getting an ACK on the address data can be sent 8 bits of data 1 bit for a data ACK This can be repeated many times 16 tj

17 Control programming I2C interface 1st transmission Device address, R/W 2 nd transmission Register address + Data bit 8 3 rd transmission Data bits tj

18 Control programming src: Chu 18 tj

19 Control programming src: Chu 19 tj

20 Control programming tristate bidirectional ¼ bit resolution clk 24 bit data register 1 bit 100Kbit/s Buffer SDA SCL 50MHz Counter Control # bits # bytes 20 tj

21 Control programming CLK Reset Din(23:0) wr_i2c I2C Block sclk sdat Idle fail done 21 tj

22 Control programming Chu uses the pullup for SDAT = 1 i2c_sdat <= Z when sdat_reg = 1 else 0 ; 22 tj

23 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity i2c is port ( clk, reset: in std_logic; din: in std_logic_vector(23 downto 0); wr_i2c: in std_logic; i2c_sclk: out std_logic; i2c_sdat: inout std_logic; i2c_idle, i2c_fail: out std_logic; i2c_done_tick: out std_logic ); end i2c; architecture arch of i2c is constant HALF: integer := 249; -- 10us/20ns/2 = 250 constant QUTR: integer := 125; -- 10us/20ns/4 = 125 constant C_WIDTH: integer := 8; type statetype is ( idle, start, scl_begin, data1, data2, data3, ack1, ack2, ack3, scl_end, stop, turn); signal state_reg, state_next: statetype; signal c_reg,c_next: unsigned(c_width-1 downto 0); signal data_reg, data_next: std_logic_vector(23 downto 0); signal bit_reg, bit_next: unsigned(2 downto 0); signal byte_reg, byte_next: unsigned(1 downto 0); signal sdat_out, sclk_out: std_logic; signal sdat_reg, sclk_reg: std_logic; signal ack_reg, ack_next: std_logic; begin -- ========================================================= ======== -- output -- ========================================================= ======== -- buffer for sda and scl lines process (clk, reset) begin if reset='1' then sdat_reg <= '1'; sclk_reg <= '1'; elsif (clk'event and clk='1') then sdat_reg <= sdat_out; sclk_reg <= sclk_out; end process; -- only master drives scl line i2c_sclk <= sclk_reg; -- i2c_sdat are with pull-up resistors -- and becomes high when not driven i2c_sdat <= 'Z' when sdat_reg='1' else '0'; -- codac fails to acknowledge properly i2c_fail <= '1' when ack_reg='1' else '0'; 23 tj

24 -- -- next-state logic ========================================================= process(state_reg,bit_reg,byte_reg,data_reg,c_reg,ack_reg, ======== din,wr_i2c,i2c_sdat) -- fsmd for transmitting three bytes begin -- state_next <= state_reg; ========================================================= sclk_out <= '1'; ======== sdat_out <= '1'; -- registers c_next <= c_reg + 1; -- timer counts continuouisely process (clk, reset) bit_next <= bit_reg; begin byte_next <= byte_reg; if reset='1' then data_next <= data_reg; state_reg <= idle; ack_next <= ack_reg; c_reg <= (others=>'0'); i2c_done_tick <='0'; bit_reg <= (others=>'0'); i2c_idle <='0'; byte_reg <= (others=>'0'); case state_reg is data_reg <= (others=>'0'); when idle => ack_reg <= '1'; i2c_idle <= '1'; elsif (clk'event and clk='1') then if wr_i2c='1' then state_reg <= state_next; data_next <= din; c_reg <= c_next; bit_next <= "000"; bit_reg <= bit_next; byte_next <="00"; byte_reg <= byte_next; c_next <= (others=>'0'); data_reg <= data_next; state_next <= start; ack_reg <= ack_next; when start => -- start condition end process; sdat_out <= '0'; if c_reg=half then c_next <= (others=>'0'); state_next <= scl_begin; 24 tj

25 when scl_begin => -- 1st half of scl=0 sclk_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); state_next <= data1; when data1 => sdat_out <= data_reg(23); sclk_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); state_next <= data2; when data2 => sdat_out <= data_reg(23); if c_reg=half then c_next <= (others=>'0'); state_next <= data3; when data3 => sdat_out <= data_reg(23); sclk_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); if bit_reg=7 then -- done with 8 bits state_next <= ack1; else data_next <= data_reg(22 downto 0) & '0'; bit_next <= bit_reg + 1; state_next <= data1; when ack1 => sclk_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); state_next <= ack2; when ack2 => if c_reg=half then c_next <= (others=>'0'); state_next <= ack3; ack_next <= i2c_sdat; -- read ack from slave when ack3 => sclk_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); if ack_reg = '1' then -- slave fails to ack state_next <= scl_end; else if byte_reg=2 then -- done with 3 bytes state_next <= scl_end; else bit_next <= "000"; byte_next <= byte_reg + 1; data_next <= data_reg(22 downto 0) & '0'; state_next <= data1; 25 tj

26 when scl_end => -- 2nd half of scl=0 sclk_out <= '0'; sdat_out <= '0'; if c_reg=qutr then c_next <= (others=>'0'); state_next <= stop; when stop => -- stop condition sdat_out <= '0'; if c_reg=half then c_next <= (others=>'0'); state_next <= turn; when turn => -- turnaround time if c_reg=half then state_next <= idle; i2c_done_tick <= '1'; end case; end process; end arch; 26 tj

Two Wire Interface (TWI) also commonly called I2C

Two Wire Interface (TWI) also commonly called I2C (TWI) also commonly called I2C MSP432 I2C 2 tj MSP432 I2C ARM (AMBA Compliant) 8 bit transmission word 7/10 bit addressing Multi-master/slave modes 4 slave addresses 4 eusci-b modules 3 tj Overview 8 bit

More information

Introduction to I2C & SPI. Chapter 22

Introduction to I2C & SPI. Chapter 22 Introduction to I2C & SPI Chapter 22 Issues with Asynch. Communication Protocols Asynchronous Communications Devices must agree ahead of time on a data rate The two devices must also have clocks that are

More information

Tutorial for I 2 C Serial Protocol

Tutorial for I 2 C Serial Protocol Tutorial for I 2 C Serial Protocol (original document written by Jon Valdez, Jared Becker at Texas Instruments) The I 2 C bus is a very popular and powerful bus used for communication between a master

More information

Sequential Logic - Module 5

Sequential Logic - Module 5 Sequential Logic Module 5 Jim Duckworth, WPI 1 Latches and Flip-Flops Implemented by using signals in IF statements that are not completely specified Necessary latches or registers are inferred by the

More information

1.3inch OLED User Manual

1.3inch OLED User Manual 1.3inch OLED User Manual 1. Key Parameters Table 1: Key Parameters Driver Chip SH1106 Interface 3-wire SPI 4-wire SPI I2C Resolution 128*64 Display Size 1.3 inch Dimension 29mm*33mm Colors Yellow, Blue

More information

The I2C BUS Interface

The I2C BUS Interface The I 2 C BUS Interface ARSLAB - Autonomous and Robotic Systems Laboratory Dipartimento di Matematica e Informatica - Università di Catania, Italy santoro@dmi.unict.it L.S.M. 1 Course What is I 2 C? I

More information

SPI 3-Wire Master (VHDL)

SPI 3-Wire Master (VHDL) SPI 3-Wire Master (VHDL) Code Download Features Introduction Background Port Descriptions Clocking Polarity and Phase Command and Data Widths Transactions Reset Conclusion Contact Code Download spi_3_wire_master.vhd

More information

VHDL in 1h. Martin Schöberl

VHDL in 1h. Martin Schöberl VHDL in 1h Martin Schöberl VHDL /= C, Java, Think in hardware All constructs run concurrent Different from software programming Forget the simulation explanation VHDL is complex We use only a small subset

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used to connect devices such as microcontrollers, sensors,

More information

MAX 10. Memory Modules

MAX 10. Memory Modules MAX 10 Memory Modules Three types of on-chip memory FF based memory embedded in the LEs Most efficient for very small memories Compiler driven Embedded SRAM block 8K bits + 1024 parity bits (9216b) MAX

More information

RL78 Serial interfaces

RL78 Serial interfaces RL78 Serial interfaces Renesas Electronics 00000-A Introduction Purpose This course provides an introduction to the RL78 serial interface architecture. In detail the different serial interfaces and their

More information

XSV Flash Programming and Virtex Configuration

XSV Flash Programming and Virtex Configuration XSV Flash Programming and Virtex Configuration July 5, 2001 (Version 1.1) Application Note by D. Vanden Bout Summary This application note describes the circuits that let the XC95108 CPLD program the Flash

More information

In our case Dr. Johnson is setting the best practices

In our case Dr. Johnson is setting the best practices VHDL Best Practices Best Practices??? Best practices are often defined by company, toolset or device In our case Dr. Johnson is setting the best practices These rules are for Class/Lab purposes. Industry

More information

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 McMaster University Embedded Systems Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 Serial Peripherals I2C Inter-IC Bus X/Y Coord. RGB data LCD config controller LCD data controller

More information

Application Note: AZD025 IQ Switch - ProxSense TM Series I2C Example Code for the IQS222

Application Note: AZD025 IQ Switch - ProxSense TM Series I2C Example Code for the IQS222 1. Introduction Application Note: AZD025 IQ Switch - ProxSense TM Series I2C Example Code for the IQS222 The IQS222 uses a 100 KHz bi-directional 2-wire bus and data transmission protocol. The serial protocol

More information

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices School of Engineering, University of Guelph Winter 2017 1 Objectives: The purpose of this lab is : Learn basic bus design techniques.

More information

Altera s Avalon Communication Fabric

Altera s Avalon Communication Fabric Altera s Avalon Communication Fabric Stephen A. Edwards Columbia University Spring 2012 Altera s Avalon Bus Something like PCI on a chip Described in Altera s Avalon Memory-Mapped Interface Specification

More information

VORAGO VA108x0 I 2 C programming application note

VORAGO VA108x0 I 2 C programming application note AN1208 VORAGO VA108x0 I 2 C programming application note MARCH 14, 2017 Version 1.1 VA10800/VA10820 Abstract There are hundreds of peripheral devices utilizing the I 2 C protocol. Most of these require

More information

Microcontrollers and Interfacing

Microcontrollers and Interfacing Microcontrollers and Interfacing Week 10 Serial communication with devices: Serial Peripheral Interconnect (SPI) and Inter-Integrated Circuit (I 2 C) protocols College of Information Science and Engineering

More information

Temperature Sensor TMP2 PMOD Part 1

Temperature Sensor TMP2 PMOD Part 1 Temperature Sensor TMP2 PMOD Part 1 Overview of the Temperature Sensor and I 2 C Interfacing Reference Sites: Diligent Temp2 PMOD: http://www.digilentinc.com/products/detail.cfm?navpath=2,401,961&prod=pmod-tmp2

More information

EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 4. Cristinel Ababei Dept. of Electrical and Computer Engr. Marquette University

EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 4. Cristinel Ababei Dept. of Electrical and Computer Engr. Marquette University EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 4 FSM, ASM, FSMD, ASMD Cristinel Ababei Dept. of Electrical and Computer Engr. Marquette University Overview Finite State Machine (FSM) Representations:

More information

File: C:\Documents and Settings\Malcolm\My Documents\My FPGA Projects\2007_demo\I

File: C:\Documents and Settings\Malcolm\My Documents\My FPGA Projects\2007_demo\I Simple I2C controller 1) No multimaster 2) No slave mode 3) No fifo's notes: Every command is acknowledged. Do not set a new command before previous is acknowledged. Dout is available 1 clock cycle later

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE Assertion Based Verification of I2C Master Bus Controller with RTC Sagar T. D. M.Tech Student, VLSI Design and Embedded Systems BGS Institute of Technology,

More information

Timing in synchronous systems

Timing in synchronous systems BO 1 esign of sequential logic Outline Timing in synchronous networks Synchronous processes in VHL VHL-code that introduces latches andf flip-flops Initialization of registers Mealy- and Moore machines

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

6.111 Lecture # 8. Topics for Today: (as time permits)

6.111 Lecture # 8. Topics for Today: (as time permits) 6.111 Lecture # 8 Topics for Today: (as time permits) 1. Memories 2. Assembling 'packages' for designs 3. Discussion of design procedure 4. Development of a design example using a finite state machine

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6008 - Microcontroller Based System Design UNIT III PERIPHERALS AND INTERFACING PART A 1. What is an

More information

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents VHDL Testbench Tutorial 1 Contents 1 VHDL Testbench 2 Test Bench Syntax 3 Testbench Example: VHDL Code for Up Down Binary Counter 4 VHDL Testbench code for up down binary counter 5 Testbench Waveform for

More information

VHDL And Synthesis Review

VHDL And Synthesis Review VHDL And Synthesis Review VHDL In Detail Things that we will look at: Port and Types Arithmetic Operators Design styles for Synthesis VHDL Ports Four Different Types of Ports in: signal values are read-only

More information

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR CE 1911 Counters Counter Types Modulo Gray Code BC (ecimal) ecade Ring Johnson (twisted ring) LFSR Variations Asynchronous / Synchronous Up/own Loadable 2 tj Modulo-n (n = a power of 2) Asynchronous Count

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Theory of Operation STOP CONDITION

Theory of Operation STOP CONDITION AVR 300: Software I 2 C Master Interface Features Uses Interrupts Supports rmal And Fast Mode Supports Both 7-Bit and 10-Bit Addressing Supports the Entire AVR Microcontroller Family Introduction The need

More information

Freescale Semiconductor, Inc.

Freescale Semiconductor, Inc. Order this document by /D Software I 2 C Communications By Brad Bierschenk MMD Applications Engineering Austin, Texas Introduction I 2 C Overview The I 2 C (inter-integrated circuit) protocol is a 2-wire

More information

I 2 C Slave Controller. I 2 C Master o_timeout_intr

I 2 C Slave Controller. I 2 C Master o_timeout_intr February 2015 Reference Design RD1140 Introduction I 2 C, or Inter-Integrated Circuit, is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

The University of Alabama in Huntsville Electrical and Computer Engineering CPE/EE 422/522 Spring 2005 Homework #6 Solution

The University of Alabama in Huntsville Electrical and Computer Engineering CPE/EE 422/522 Spring 2005 Homework #6 Solution 5.3(a)(2), 5.6(c)(2), 5.2(2), 8.2(2), 8.8(2) The University of Alabama in Huntsville Electrical and Computer Engineering CPE/EE 422/522 Spring 25 Homework #6 Solution 5.3 (a) For the following SM chart:

More information

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers February 205 Introduction Reference Design RD73 I2C and SPI are the two widely used bus protocols in today s embedded systems. The I2C bus has a minimum pin count requirement and therefore a smaller footprint

More information

I 2 C Communication. Embedded Systems Interfacing. 25 October 2011

I 2 C Communication. Embedded Systems Interfacing. 25 October 2011 25 October 2011 frametitletypical System Specifications Version 1.0 1992 Up to 400 Kbps 10 bit addresses Version 2.0 1998 Up to 3.4 Mbps New signal levels for High-speed operation Version 2.1 2000 Some

More information

34 Series EEPROM Application Note. 1. Introduction. 2. Power supply & power on reset

34 Series EEPROM Application Note. 1. Introduction. 2. Power supply & power on reset 1. Introduction his application note provides assistance and guidance on how to use GIANEC I 2 C serial EEPROM products. he following topics are discussed one by one: Power supply & power on reset Power

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

Microcontroller Systems. ELET 3232 Topic 23: The I 2 C Bus

Microcontroller Systems. ELET 3232 Topic 23: The I 2 C Bus Microcontroller Systems ELET 3232 Topic 23: The I 2 C Bus Objectives To understand the basics of the I 2 C bus To understand the format of a serial transmission between I 2 C devices To understand how

More information

VHDL: Modeling RAM and Register Files. Textbook Chapters: 6.6.1, 8.7, 8.8, 9.5.2, 11.2

VHDL: Modeling RAM and Register Files. Textbook Chapters: 6.6.1, 8.7, 8.8, 9.5.2, 11.2 VHDL: Modeling RAM and Register Files Textbook Chapters: 6.6.1, 8.7, 8.8, 9.5.2, 11.2 Memory Synthesis Approaches: Random logic using flip-flops or latches Register files in datapaths RAM standard components

More information

Lesson I2C. I²C (Inter-Integrated Circuit) Lab Assignment: I2C Slave Driver

Lesson I2C. I²C (Inter-Integrated Circuit) Lab Assignment: I2C Slave Driver Lesson I2C I²C (Inter-Integrated Circuit) Lab Assignment: I2C Slave Driver I²C (Inter-Integrated Circuit) What is I 2 C I2C is pronounced "eye-squared see". It is also known as "TWI" because of the initial

More information

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses Serial Peripheral Interface What is it? Basic SPI Capabilities Protocol Serial Peripheral Interface http://upload.wikimedia.org/wikipedia/commons/thumb/e/ed/ SPI_single_slave.svg/350px-SPI_single_slave.svg.png

More information

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution Spring 2016

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution Spring 2016 The University of Alabama in Huntsville ECE Department CPE 526 01 Midterm Exam Solution Spring 2016 1. (15 points) Write a VHDL function that accepts a std_logic_vector of arbitrary length and an integer

More information

or between microcontrollers)

or between microcontrollers) : Communication Interfaces in Embedded Systems (e.g., to interface with sensors and actuators or between microcontrollers) Spring 2016 : Communication Interfaces in Embedded Systems Spring (e.g., 2016

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

How to Implement I 2 C Serial Communication Using Intel MCS-51 Microcontrollers

How to Implement I 2 C Serial Communication Using Intel MCS-51 Microcontrollers APPLICATION NOTE How to Implement I 2 C Serial Communication Using Intel MCS-51 Microcontrollers SABRINA D QUARLES APPLICATIONS ENGINEER April 1993 Order Number 272319-001 Information in this document

More information

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 8, 2006 Midterm Average: 70 Lecture 16: Midterm Solutions Homework 6: Calculator Handshaking HW 6: Due Wednesday, November

More information

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller February 2015 Introduction Reference Design RD1139 I 2 C or Inter-Integrated Circuit is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

Sequential Statement

Sequential Statement Sequential Statement Sequential Logic Output depends not only on current input values but also on previous input values. Are building blocks of; Counters Shift registers Memories Flip flops are basic sequential

More information

The CPU Bus : Structure 0

The CPU Bus : Structure 0 The CPU Bus : Structure 0 The following can be applied to both the internal CPU buses and the external system buses. This distinction becomes blurred when we discuss Systems on a single Chip (SoC). The

More information

Summary of FPGA & VHDL

Summary of FPGA & VHDL FYS4220/9220 Summary of FPGA & VHDL Lecture #6 Jan Kenneth Bekkeng, University of Oslo - Department of Physics 16.11.2011 Curriculum (VHDL & FPGA part) Curriculum (Syllabus) defined by: Lectures Lecture6:

More information

24-bit Audio CODEC. Digital Circuit Lab. TA: Po-Chen Wu

24-bit Audio CODEC. Digital Circuit Lab. TA: Po-Chen Wu 24-bit Audio CODEC Digital Circuit Lab TA: Po-Chen Wu Outline Introduction to Audio Signal Architecture Overview Device Initialization Device Operation 2 Introduction to Audio Signal 3 Introduction An

More information

[VARIABLE declaration] BEGIN. sequential statements

[VARIABLE declaration] BEGIN. sequential statements PROCESS statement (contains sequential statements) Simple signal assignment statement

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

Introduction to VHDL #1

Introduction to VHDL #1 ECE 3220 Digital Design with VHDL Introduction to VHDL #1 Lecture 3 Introduction to VHDL The two Hardware Description Languages that are most often used in industry are: n VHDL n Verilog you will learn

More information

COVER SHEET: Total: Regrade Info: 5 (14 points) 7 (15 points) Midterm 1 Spring 2012 VERSION 1 UFID:

COVER SHEET: Total: Regrade Info: 5 (14 points) 7 (15 points) Midterm 1 Spring 2012 VERSION 1 UFID: EEL 4712 Midterm 1 Spring 2012 VERSION 1 Name: UFID: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read it with a reasonable effort, it is assumed wrong. As always, the best answer

More information

Audio Controller i. Audio Controller

Audio Controller i. Audio Controller i Audio Controller ii Contents 1 Introduction 1 2 Controller interface 1 2.1 Port Descriptions................................................... 1 2.2 Interface description.................................................

More information

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Control Unit: Binary Multiplier Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Example: Binary Multiplier Two versions Hardwired control Microprogrammed

More information

Laboratory 5 Communication Interfaces

Laboratory 5 Communication Interfaces Laboratory 5 Communication Interfaces Embedded electronics refers to the interconnection of circuits (micro-processors or other integrated circuits) with the goal of creating a unified system. In order

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VHDL Overview Garrett S. Rose Spring 2016 Recap Public Key Encryption (PKE) RSA (Rivest, Shamir and Adelman) Encryption Advanced Encryption Standard

More information

I2C Master-Slave Connection

I2C Master-Slave Connection ZBasic Application Note AN-219 Implementing I2C and SPI Slaves Introduction With the introduction of native mode ZX devices it became possible to implement a broader range of applications, notable among

More information

NIOS Character. Last updated 7/16/18

NIOS Character. Last updated 7/16/18 NIOS Character Last updated 7/16/18 Character Buffer Block Diagram CLK RST Clock Reset_bar CLK RST PLL 25MHz* CPU Onchip Memory JTAG UART Timer System ID S M S S S S S M S Character Buffer DMA Dual Port

More information

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University ECE 545 Lecture 8 Data Flow Description of Combinational-Circuit Building Blocks George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 7, Combinational Circuit Design:

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points)

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points) EEL 4712 Midterm 2 Spring 2011 VERSION 1 Name: UFID: Sign your name here if you would like for your test to be returned in class: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read

More information

Implementation of MCU Invariant I2C Slave Driver Using Bit Banging

Implementation of MCU Invariant I2C Slave Driver Using Bit Banging Implementation of MCU Invariant I2C Slave Driver Using Bit Banging Arindam Halder, Ranjan Dasgupta Innovation Lab, TATA Consultancy Services, Ltd. Kolkata, India arindam.halder@tcs.com,ranjan.dasgupta@tcs.com

More information

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering EENG 2910 Project III: Digital System Design Due: 04/30/2014 Team Members: University of North Texas Department of Electrical Engineering Table of Content i Contents Abstract...3 Introduction...3 Report...4

More information

Design Problem 4 Solutions

Design Problem 4 Solutions CSE 260 Digital Computers: Organization and Logical Design Design Problem 4 Solutions Jon Turner The block diagram appears below. The controller includes a state machine with three states (normal, movecursor,

More information

Digital Signal Processor for TV

Digital Signal Processor for TV Digital Signal Processor for TV General Description The NJU26041-01A is a high performance 24-bit digital signal processor. The NJU26041-01A provides eala 3D Surround function, ealabass Dynamic Bass Boost

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Application Note Rev. 0, 4/2004 Software Drivers for Tango3 RF Transmitter and Romeo2 RF Receiver ICs By John Logan 8/16-Bit Division East Kilbride, Scotland Introduction This application note describes

More information

The EFM32 I2C module allows simple, robust and cost effective communication between integrated circuits using only one data and one clock line.

The EFM32 I2C module allows simple, robust and cost effective communication between integrated circuits using only one data and one clock line. ...the world's most energy friendly microcontrollers I2C Multimaster AN0011 - Application Note Introduction The EFM32 I2C module allows simple, robust and cost effective communication between integrated

More information

I2C on the HMC6352 Compass

I2C on the HMC6352 Compass I 2 C Bus The I 2 C bus is a two-wire bus(plus ground) where the two wire are called SCL Clock line SDA Data line Gnd Ground line This is a synchronous bus. SCL is the synchronizing signal. SCL and SDA

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

Sign here to give permission for your test to be returned in class, where others might see your score:

Sign here to give permission for your test to be returned in class, where others might see your score: EEL 4712 Midterm 2 Spring 216 VERSION 1 Name: UFID: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

COVER SHEET: Total: Regrade Info: 7 (6 points) 2 (10 points) 9 (5 points) 8 (12 points) 12 (5 points) 11 (25 points)

COVER SHEET: Total: Regrade Info: 7 (6 points) 2 (10 points) 9 (5 points) 8 (12 points) 12 (5 points) 11 (25 points) EEL 4712 Midterm 3 Spring 2012 VERSION 1 Name: UFID: Sign your name here if you would like for your test to be returned in class: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read

More information

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014 CSE 260 Introduction to Digital Logic and Computer Design Jonathan Turner Exam 1 Your name 2/13/2014 1. (10 points) Draw a logic diagram that implements the expression A(B+C)(C +D)(B+D ) directly (do not

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Interfacing the NM24C16 Serial EEPROM to the microcontroller. Interfacing the NM24C16 Serial EEPROM to the 8031 Microcontroller AN-957

Interfacing the NM24C16 Serial EEPROM to the microcontroller. Interfacing the NM24C16 Serial EEPROM to the 8031 Microcontroller AN-957 Interfacing the NM24C16 Serial EEPROM to the 8031 Microcontroller INTRODUCTION This applications note describes an interface between the National Semiconductor NM24C16 serial EEPROM and an 8031 microcontroller

More information

21. TWI Two-Wire Interface

21. TWI Two-Wire Interface 21. TWI Two-Wire Interface 21.1 Features Bidirectional, two-wire communication interface Phillips I 2 C compatible System Management Bus (SMBus) compatible Bus master and slave operation supported Slave

More information

SHIM: A Language for Hardware/Software Integration

SHIM: A Language for Hardware/Software Integration SHIM: A Language for Hardware/Software Integration Stephen A. Edwards Department of Computer Science, Columbia University www.cs.columbia.edu/ sedwards sedwards@cs.columbia.edu Definition shim \ shim\

More information

Hello, World: A Simple Application for the Field Programmable Port Extender (FPX)

Hello, World: A Simple Application for the Field Programmable Port Extender (FPX) Hello, World: A Simple Application for the Field Programmable Port Extender (FPX) John Lockwood, David Lim WUCS-TM-00-12 July 11, 2000 Department of Computer Science Applied Research Lab Washington University

More information

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits M1 Informatique / MOSIG Introduction to Modeling and erification of Digital Systems Part 4: HDL for sequential circuits Laurence PIERRE http://users-tima.imag.fr/amfors/lpierre/m1arc 2017/2018 81 Sequential

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts Required reading P. Chu, using VHDL Chapter 1, Finite State Machine: Principle & Practice Chapter

More information

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface Rev: 1.0.0 Date: 23 rd Jan 2015 App Note - 310 Application Note: Addressing Multiple FPAAs Using a SPI Interface TABLE OF CONTENTS 1 PURPOSE... 2 2 THE SPI INTERFACE... 3 2.1 OVERVIEW... 3 2.2 DETAILED

More information

Problem Set 5 Solutions

Problem Set 5 Solutions Problem Set 5 Solutions library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; -- here is the declaration of entity entity la_rewarder is port (clk, go, SRAM_busy, SRAM_rdy: in std_logic; min:

More information

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution March 2, 2006

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution March 2, 2006 The University of Alabama in Huntsville ECE Department CPE 526 01 Midterm Exam Solution March 2, 2006 1. (15 points) A barrel shifter is a shift register in which the data can be shifted either by one

More information

VHDL for FPGA Design. by : Mohamed Samy

VHDL for FPGA Design. by : Mohamed Samy VHDL for FPGA Design by : Mohamed Samy VHDL Vhdl is Case insensitive myvar = myvar = MYVAR IF = if = if Comments start with -- Comments can exist anywhere in the line Semi colon indicates the end of statements

More information

UART. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning

UART. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning UART ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Cengage Learning UART Universal Asynchronous Receiver Transmitter Serial Data Transmission 68HC11 Microcontroller UART Registers

More information

Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol

Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol Data Acquisition From Capacitance Sensor AD7746 To Central Monitoring System Using I 2 C Protocol Abhilash C S 1, Muralidhar N 2 Department of Electronics and Communication, V.V.I.E.T., Mysore, India 1,2

More information

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas Nanosistemų programavimo kalbos 5 paskaita Sekvencinių schemų projektavimas Terminai Combinational circuit kombinacinė schema (be atminties elementų) Sequential circuit nuosekli (trigerinė, sekvencinė)

More information

Microtronix Avalon I 2 C

Microtronix Avalon I 2 C Microtronix Avalon I 2 C User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com This user guide provides basic information about using the Microtronix Avalon I 2 C IP. The following

More information

C8051F700 Serial Peripheral Interface (SPI) Overview

C8051F700 Serial Peripheral Interface (SPI) Overview C8051F700 Serial Peripheral Interface (SPI) Overview Agenda C8051F700 block diagram C8051F700 device features SPI operation overview SPI module overview Where to learn more 2 Introducing The C8051F700

More information

Quartus Counter Example. Last updated 9/6/18

Quartus Counter Example. Last updated 9/6/18 Quartus Counter Example Last updated 9/6/18 Create a logic design from start to a DE10 implementation This example uses best design practices This example is not about creating HDL The HDL code will be

More information

PIC16C7X 11.0 SYNCHRONOUS SERIAL PORT (SSP) MODULE SSP Module Overview. Applicable Devices

PIC16C7X 11.0 SYNCHRONOUS SERIAL PORT (SSP) MODULE SSP Module Overview. Applicable Devices Applicable Devices PIC16C7X 11.0 SYNCHRONOUS SERIAL PORT (SSP) MODULE 11.1 SSP Module Overview The Synchronous Serial Port (SSP) module is a serial interface useful for communicating with other peripheral

More information