System Scaling Opportunities for Future IT Systems

Size: px
Start display at page:

Download "System Scaling Opportunities for Future IT Systems"

Transcription

1 System Scaling Opportunities for Future IT Systems Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/24/2014

2 Data growth will drive the new IT model Dimensions of data growth Terabytes to exabytes of existing data to process Volume Velocity Streaming data, milliseconds to seconds to respond Source: International Business Machines 2013 The Data is in the Cloud! The Compute will move to the Data! Structured, Variety unstructured, text, multimedia Veracity Uncertainty from inconsistency, ambiguities, etc. 2 5/24/2014

3 Changes in the compute model memory centric computing Old Compute-centric Model Manycore FPGA New Data-centric Model input output Massive Parallelism Persistent Memory von Neumann architecture Flash Phase Change Non von Neumann architecture Data lives on disk and tape Data lives in persistent memory Move data to CPU as needed Deep Storage Hierarchy Many CPU/GPU s surround and use Shallow/Flat Storage Hierarchy Huge impact on hardware, systems software, and application design Open Architecture, Software Defined Environment 3 24 May /24/2014

4 A new paradigm for cognitive systems for new workloads Switching Storage System Scale In Memory Scale-In Through Silicon and Packaging Innovation 4 5/24/2014

5 Will Silicon scaling and integration get us to the goal line? 5 5/24/2014

6 Scaling can and will continue to at least the 7 nm node Cost per transistor has begun to saturate Normalized cost (to 90nm) Litho node (nm) Economics will likely be the key challenge to continued Silicon scaling 45 nm 32 nm 22 nm 14 nm 10 nm Immersion (ArFi) 2 nd Generation Immersion 3 rd Gen ArFi w/ Source Mask Optimization (SMO) 4 th Gen ArFi w/ SMO & Double Patterning (DPL) 5 th Gen ArFi w/ Multilayer Patterning or EUV 6 24 May /24/2014

7 Heterogeneous Integration and 3Di : Volumetric Scaling Controlled by Foundry Requires co-development of Silicon and Packaging solutions Packaging is the key technology for volumetric scaling 2D and 3D technologies High performance I/O Optics CPI: Chip-Package Interaction Power and thermal management Provides lower cost solutions to enable system performance Si cost:performance metric is very challenged Allows for use of mixed semiconductor technologies Enables use of lower cost technologies for less performance sensitive functions Key Technologies CSP (chip scale packages) SIP (system in package) Interposers Si and other interposer materials 3Di Photonics Controlled by OSAT Optional 7 5/24/2014

8 MCM, Interposer and 3D Technology for Heterogeneous Integration Ceramic MCM Organic MCM Si Interposer Glass Interposer Organic Interposer 3D Dielectric Properties Adequate Good Lossy Excellent V. Good Lossy Feature Dimensions Mechanically defined Down to ~10µm L/S Si-Like Lithography Display Like Down to ~5µm L/S Si Lithography CTE Induced Stress V. Good Mod. High Excellent Tailorable Mod. High Excellent Cost High Moderate Moderate TBD Low-Moderate Application Dependent Availability Available Available Available Development Development Available L/S = Lines and Spaces 8 5/24/2014

9 Summary Silicon performance advancement becoming more challenging as scaling is becoming more costly Need to look beyond CMOS for cost effective technology solutions Integrated co-development of Silicon and packaging solutions required to achieve new technologies with superior cost:performance metrics Volumetric scaling will be critical to future performance enablement Tightly coupled modules and components CSP 3Di technology Interposer integration High performance I/O and optics 9 5/24/2014

10 Thank You Acknowledgements I would like to thank my colleagues in IBM Packaging, Silicon, Systems and Research for their many contributions 10 5/24/2014

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY Consideration for Advancing Technology in Computer System Packaging Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY IEEE Distinguished Lecture Series 2014 Motivation Modern Computing is driven by

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

Heterogeneous SoCs Through Advanced Packaging

Heterogeneous SoCs Through Advanced Packaging Heterogeneous SoCs Through Advanced Packaging Subramanian S. Iyer (s.s.iyer@ucla.edu) chips.ucla.edu Our Main Thrust: What are we trying to do? Develop an app-like environment for Hardware that can Cut

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications UBS Global Technology and Services Conference New York City Craig DeYoung VP, Investor Relations & Corporate Communications June 9, 2009 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private

More information

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing Elvino da Silveira Agenda Introductions & Trends Consumer products driving AMOLED Adoption! Lithography Challenges Devices

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing Elvino da Silveira Agenda Introductions & Trends Consumer products driving AMOLED Adoption! Lithography Challenges Devices

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Lecture 1: Introduction

Lecture 1: Introduction Contemporary Computer Architecture Instruction set architecture Lecture 1: Introduction CprE 581 Computer Systems Architecture, Fall 2016 Reading: Textbook, Ch. 1.1-1.7 Microarchitecture; examples: Pipeline

More information

September 13, 2016 Keynote

September 13, 2016 Keynote BiTS China 2016 Premium Archive 2016 BiTS Workshop Image: 一花一菩提 /HuiTu.com September 13, 2016 Keynote Burn-in & Test Strategies Workshop www.bitsworkshop.org September 13, 2016 BiTS China 2016 Premium

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Innovating Beyond Moore s Law. Craig Stephen Vice President, R&D, Oracle Labs

Innovating Beyond Moore s Law. Craig Stephen Vice President, R&D, Oracle Labs Innovating Beyond Moore s Law Craig Stephen Vice President, R&D, Oracle Labs The following is intended to outline our general product direction. It is intended for information purposes only, and may not

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

The Future of High Performance Computing

The Future of High Performance Computing The Future of High Performance Computing Randal E. Bryant Carnegie Mellon University http://www.cs.cmu.edu/~bryant Comparing Two Large-Scale Systems Oakridge Titan Google Data Center 2 Monolithic supercomputer

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

The Computer Revolution. Classes of Computers. Chapter 1

The Computer Revolution. Classes of Computers. Chapter 1 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition 1 Chapter 1 Computer Abstractions and Technology 1 The Computer Revolution Progress in computer technology Underpinned by Moore

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Intelligent Memory and Storage Vertical Research Center Sean Eilert Fellow Micron Technology High Level Overview Conventional Bottlenecks

More information

Innovation Leadership in Expanding Markets

Innovation Leadership in Expanding Markets Innovation Leadership in Expanding Markets Gary Dickerson CEO and President SEPTEMBER 27, 2017 External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements,

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge IDM ADVANTAGE DR. MURTHY RENDUCHINTALA President - Client, IoT Businesses & Systems Architecture Group Disclosures Intel Technology and Manufacturing Day 2017 occurs during Intel s

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

3D NAND Technology Scaling helps accelerate AI growth

3D NAND Technology Scaling helps accelerate AI growth 3D NAND Technology Scaling helps accelerate AI growth Jung Yoon, Ranjana Godse IBM Supply Chain Engineering Andrew Walls IBM Flash Systems August 2018 1 Agenda 3D-NAND Scaling & AI Flash density trend

More information

The Future of High- Performance Computing

The Future of High- Performance Computing Lecture 24: The Future of High- Performance Computing Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2016 Executive Order July 29, 2015 EXECUTIVE ORDER - - - - - - - CREATING

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE24 - Spring 2008 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday 2-3pm 2 CMOS Scaling Rules Voltage, V

More information

Big Data Systems on Future Hardware. Bingsheng He NUS Computing

Big Data Systems on Future Hardware. Bingsheng He NUS Computing Big Data Systems on Future Hardware Bingsheng He NUS Computing http://www.comp.nus.edu.sg/~hebs/ 1 Outline Challenges for Big Data Systems Why Hardware Matters? Open Challenges Summary 2 3 ANYs in Big

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 1. Computer Abstractions and Technology

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 1. Computer Abstractions and Technology COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 1 Computer Abstractions and Technology The Computer Revolution Progress in computer technology Underpinned by Moore

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Optimizing Apache Spark with Memory1. July Page 1 of 14

Optimizing Apache Spark with Memory1. July Page 1 of 14 Optimizing Apache Spark with Memory1 July 2016 Page 1 of 14 Abstract The prevalence of Big Data is driving increasing demand for real -time analysis and insight. Big data processing platforms, like Apache

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Smallest RISC-V Device for Next-Generation Edge Computing

Smallest RISC-V Device for Next-Generation Edge Computing Smallest RISC-V Device for Next-Generation Edge Computing 1 Seiji Munetoh 1, Chitra K Subramanian 2, Arun Paidimarri 2, Yasuteru Kohda 1 IBM Research Tokyo 1 & T.J. Watson Research Center 2 Processor chip

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

Enabling Technology for the Cloud and AI One Size Fits All?

Enabling Technology for the Cloud and AI One Size Fits All? Enabling Technology for the Cloud and AI One Size Fits All? Tim Horel Collaborate. Differentiate. Win. DIRECTOR, FIELD APPLICATIONS The Growing Cloud Global IP Traffic Growth 40B+ devices with intelligence

More information

Paradigms Shifts in CMP

Paradigms Shifts in CMP Paradigms Shifts in CMP Daniel Redfield Director - NMA CMPUG - Semicon West 2018 Paradigm Shifts in CMP State of CMP Market Inflections are driving CMP Growth and Complexity Paradigm Shifts in CMP Strategies

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

RISC-V: Enabling a New Era of Open Data-Centric Computing Architectures

RISC-V: Enabling a New Era of Open Data-Centric Computing Architectures Presentation Brief RISC-V: Enabling a New Era of Open Data-Centric Computing Architectures Delivers Independent Resource Scaling, Open Source, and Modular Chip Design for Big Data and Fast Data Environments

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

BIG DATA TESTING: A UNIFIED VIEW

BIG DATA TESTING: A UNIFIED VIEW http://core.ecu.edu/strg BIG DATA TESTING: A UNIFIED VIEW BY NAM THAI ECU, Computer Science Department, March 16, 2016 2/30 PRESENTATION CONTENT 1. Overview of Big Data A. 5 V s of Big Data B. Data generation

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Copyright 2012, Elsevier Inc. All rights reserved.

Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Benn Thomsen. Microsoft Research

Benn Thomsen. Microsoft Research Benn Thomsen Microsoft Research Operating Optics at scale Data Center 1 km radius 150,000 servers 120,000 100G optical transceivers Regional Network 70km radius 512 fibre pairs 2Pb/s WAN Data centers in

More information

Supercomputing made super human

Supercomputing made super human Supercomputing made super human The New Age of Accelerated Computing: A History of Innovation and Optimization in Computing Steve Hebert, Cofounder and CEO, Nimbix 2 1880 census had taken eight years to

More information

Piper Jaffray Europe Conference London

Piper Jaffray Europe Conference London Piper Jaffray Europe Conference London Franki D Hoore Director Investor Relations June 22, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995:

More information

Renovating your storage infrastructure for Cloud era

Renovating your storage infrastructure for Cloud era Renovating your storage infrastructure for Cloud era Nguyen Phuc Cuong Software Defined Storage Country Sales Leader Copyright IBM Corporation 2016 2 Business SLAs Challenging Traditional Storage Approaches

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

EECS4201 Computer Architecture

EECS4201 Computer Architecture Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis These slides are based on the slides provided by the publisher. The slides will be

More information

The Future of High- Performance Computing

The Future of High- Performance Computing Lecture 26: The Future of High- Performance Computing Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2017 Comparing Two Large-Scale Systems Oakridge Titan Google Data Center Monolithic

More information

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2 ISSN 2277-2685 IJESR/June 2016/ Vol-6/Issue-6/150-156 G. Sri Harsha et. al., / International Journal of Engineering & Science Research VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Supercomputing and Mass Market Desktops

Supercomputing and Mass Market Desktops Supercomputing and Mass Market Desktops John Manferdelli Microsoft Corporation This presentation is for informational purposes only. Microsoft makes no warranties, express or implied, in this summary.

More information

Layout Analysis Embedded Memory

Layout Analysis Embedded Memory Sample Report For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: 613.829.0414

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

Setting the Test Standard for Tomorrow. Nasdaq: AEHR Setting the Test Standard for Tomorrow Nasdaq: AEHR Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties relating to projections regarding

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Data Centric Computing

Data Centric Computing Piyush Chaudhary HPC Solutions Development Data Centric Computing SPXXL/SCICOMP Summer 2011 Agenda What is Data Centric Computing? What is Driving Data Centric Computing? Puzzle vs.

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

5.2 Technology Leadership

5.2 Technology Leadership 5.1.4 Production in 2009 and 2008 Unit: Capacity / Output (8-inch equivalent wafers) / Amount (NT$ thousands) Wafers Year Capacity Output Amount 2009 9,954,558 7,582,664 150,572,709 2008 9,376,612 8,350,692

More information

System Impacts of HDD and Flash Reliability Steven Hetzler IBM Fellow Manager Storage Architecture Research

System Impacts of HDD and Flash Reliability Steven Hetzler IBM Fellow Manager Storage Architecture Research System Impacts of HDD and Flash Reliability Steven Hetzler IBM Fellow Manager Storage Architecture Research May 14, 2008 S. R. Hetzler, IBM 1 Outline HDD System impact of HDD capacity growth rate Flash

More information

M. DURANTON, D. BLACK-SHAFFER, S. YEHIA, K. DE BOSSCHERE.

M. DURANTON, D. BLACK-SHAFFER, S. YEHIA, K. DE BOSSCHERE. M. DURANTON, D. BLACK-SHAFFER, S. YEHIA, K. DE BOSSCHERE http://www.hipeac.net/roadmap HiPEAC = High-Performance Embedded Architecture and Compilers -- an EU FP7 Network of Excellence -- 2 HiPEAC Roadmaps

More information