Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines

Size: px
Start display at page:

Download "Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines"

Transcription

1 Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines akshmanan Balasubramanian, Bharath Kumar Poluri, Texas Instruments Shoeb Siddiqui, IITM Vijay Kumar Sankaran, Cadence Accellera Systems Initiative 1

2 Acknowledgement Jagdish C Rao, Nikhil Chandrakant Sangani of Texas Instruments (TI) for their support and motivation Badrinarayanan Zanwar, Bhanuprakash R and Michael Womac of Cadence Design Systems (CDS) for their specific technical contributions and support of towards the studies of several flavours of CMs for AMS co-simulation Abhijeet Kolpekwar, Chong Chi, Ron Vogelsong, Dan Cline, Michael Dolan, Andre Baguenier and Vishwajeet Betai of CDS for their untiring support on AMS co-simulation methodology, technical discussions, brainstorming and formal tool support Kalyan Ram Hampapuram, Aswani Kumar Golla, and Penchalkumar Gajula of TI for their support on several aspects of backend physical design flow and tools Accellera Systems Initiative 2

3 Objective Context: AMS co-simulation Explain connect modules / interface elements Power managed designs Introduce different types of CMs Compare Implementation intricacies Automation requirements True supply sensitivity Recommendations Accellera Systems Initiative 3

4 Motivation: Analog mixed signal Increasing analog integration Increasing system complexity, flexibility and richness of functionalities Time-to-market pressures & first pass silicon success Finding issues later in the product or design cycle is expensive Quality product on time in the hands of end user Accellera Systems Initiative 4

5 AMS co-simulation: Significance Digital (Event driven) and analog (SPICE) simulation engines running simultaneously, in coherence To simulate systems having digital and analog contents A misnomer! Not necessarily digital Vs analog Mix of (Digital, Behavioural abstraction) & (analog, transistor level or device level abstraction) Necessary though limited scope Synopsys: VCS-Nanosim, VCS-HSIM Cadence: NC-Ultrasim, NC-Spectre/APS Performed at various levels of accuracy and abstraction To realistically trade-off simulation run time Vs accuracy AMS co-simulation with only focused functions in T Other functions (including analog) as ABMOD Accellera Systems Initiative 5

6 Abstraction levels: Cost Vs Accuracy Digital DMS Register Transfer evel (RT) Gate evel (G) with timing annotation Transistor evel (T) Accuracy Method Event driven Faster SPICE based analog simulator Slower Simulation run time (Speed ) & Cost Analog Behavioural models (BMOD) Digital equivalent models (VHD/Verilog) Analog models using digital tools VHD RN (Real Number), Verilog wreal Analog macro models Verilog A Verilog or VHD AMS SPICE macro models Transistor evel (T) Device level models with detailed characterisation Most accurate Accellera Systems Initiative 6

7 Abstraction levels: Cost Vs Accuracy Digital Register Transfer evel (RT) Gate evel (G) with timing annotation Conventional AMS Transistor evel (T) Accuracy Method Event driven Faster SPICE based analog simulator Slower Simulation run time (Speed ) & Cost Analog Behavioural models (BMOD) Digital equivalent models (VHD/Verilog) Analog models using digital tools VHD RN (Real Number), Verilog wreal Analog macro models Verilog A Verilog or VHD AMS SPICE macro models Transistor evel (T) Device level models with detailed characterisation Most accurate Accellera Systems Initiative 7

8 AMS co-simulation setup Testbench C 1 R 1 Digital Toplevel IOs Mixed Signal SoC Connect Module A2D Connect Module D2A Connect Module A2D Connect Module BiDi On-chip Oscillators ADC Comparator Power Management Selected Analog simulated in transistor level Analog BUS Functional Models Digital BUS Functional Models Analog modules simulated in transistor level always Accellera Systems Initiative 8

9 Connect modules for A(D)MS CM (IE): To handle signals crossing inconsistent data types Multiple disciplines Co-simulation boundary ogical and real domains E Continuous, real valued Variable VDD E2-CM Discrete, binary valued Variable 1 t r t f 0 0 0V Accellera Systems Initiative 9

10 Connect modules: Basic function evel (Voltage) conversion w.r.t a threshold Pre-defined constant Pre-defined derived function ogic Output X 0 X 1 Electrical Voltage Input VHI VO Vsupmin Pre-defined Voltage Thresholds Time Accellera Systems Initiative 10

11 Connect module flavours Voltage & Current handling Impedance handling Strength based CM Supply awareness Static CM Dynamic CM Inherited CM Inherited CM with CPF (Power aware CM) Supply sensitive CM Accellera Systems Initiative 11

12 Static connect modules evel (Voltage) conversion w.r.t a pre-defined constant threshold ogic Output X 0 X 1 Electrical Voltage Input VHI VO Pre-defined Voltage Thresholds Time Accellera Systems Initiative 12

13 Static CM Implementation connectmodule E2 (Ain, Dout); input Ain; electrical Ain; // electrical input output Dout; \logic Dout; // logic output // INSTANCE PARAMETERS: parameter real vsup=3.6 from (0:inf); // nominal supply voltage parameter real vthi=vsup/1.5 from (-inf:vsup); // upper input threshold parameter real vtlo=vthi/2 from (-inf:vthi); // lower threshold parameter real vtol=vsup/100 from (0:(vthi-vtlo)/4]; // voltage tolerance // OCA VARIABES: reg Dreg; // output register initial begin end endmodule Accellera Systems Initiative 13

14 Static CM Multiple voltage & power domain 2E E2 Digital RT / G + SDF E 2E E2 E BD 2R R2 R BD 2R R2 R BD Analog (Electrical / T) Analog (Real Numbered BMOD) Accellera Systems Initiative 14

15 PM handling with Static CM Identify all the CM instances after compilation and elaboration Classify CM instances among the different voltage domains Assign the variable vsup for each CM instance to constant value defparam testbench.duv.a_3v_e2.vsup=3.0; defparam testbench.duv.b_1p8v_e2.vsup=1.8; Pursue with simulation; now the setup can comprehend the difference between different voltage domains even though in a static manner. Accellera Systems Initiative 15

16 Static CM: Summary No power domain handling Manual voltage domain handling No dynamism Fixed supply voltage levels per simulation run Digital RT / G + SDF 2E E2 E 2E E2 E BD 2R R2 R BD 2R Analog (Electrical / T) Analog (Real Numbered BMOD) R2 R BD Accellera Systems Initiative 16

17 Dynamic CM Very critical with multiple voltage supplies & levels Supply 1.3 V 0 V D2A input D2A output Static CM D2A output Dynamic CM V 0 V 1.3 V 0 V t0 t1 t2 t D2A output doesn t track supply dynamics D2A output tracks supply dynamics Accellera Systems Initiative 17

18 Supply inherited connect modules Inherits the top-level power net Uses the voltage value for conversion (in real time) Definition (Global!) in CM overrides physical supply connectivity VDD E E2 - Inh Accellera Systems Initiative 18

19 Supply inh. CM Implementation connectmodule E2_inhconn (Ain, Dout); input Ain; electrical Ain; // electrical input output Dout; \logic Dout; // logic output // Inherited vdd! and vss! electrical (* integer inh_conn_prop_name="vdd"; integer inh_conn_def_value="cds_globals.\\vdd! "; *) \vdd! ; electrical (* integer inh_conn_prop_name="vss"; integer inh_conn_def_value="cds_globals.\\vss! "; *) \vss! ; // INSTANCE PARAMETERS: parameter real vsup_min=0.5 from (0:inf); // min supply for normal operation // scaled input/output levels/thresholds (0 maps to Vref, 1 maps to vdd-vss): // OCA VARIABES: real Vds; // supply voltage real Vas; // input voltage initial begin end... analog begin Vds = V(\vdd!,\vss! ); Vas = V(Ain,\vss! ); end endmodule Accellera Systems Initiative 19

20 Supply inherited connect modules Multiple voltage & power domain Accellera Systems Initiative 20

21 PM handling with supply inh. CM Identify all voltage domains Create unique CM definition per domain Supply node reference for different domains Defining a unique logic discipline (Ex. logicavdd & logicdvdd) electrical (* integer inh_conn_prop_name="vdd"; integer inh_conn_def_value= testbench.avdd"; *) \avdd! ; electrical (* integer inh_conn_prop_name="vss"; integer inh_conn_def_value= testbench.avss"; *) \avss! ; electrical (* integer inh_conn_prop_name="vdd"; integer inh_conn_def_value= testbench.dvdd"; *) \vdd! ; electrical (* integer inh_conn_prop_name="vss"; integer inh_conn_def_value= testbench.dvss"; *) \vss! ; Classify the different cells, cell instances, instance terminals and nets into logic domains Use the backend physical design tool Pursue with compilation, elaboration and simulation Accellera Systems Initiative 21

22 Supply inherited CM: Summary No power domain handling Semi-automated voltage domain handling Discipline definitions ogical: Multiple supply domains Superficial (not through physical supply connectivity) supply dependence definition Dynamism supported Power-up/down (ramp) & mode transitions Accellera Systems Initiative 22

23 Supply inherited CM with C/UPF Voltage conversion based upon whether that particular power domain is active or not Definition (Global!) in C/UPF overrides physical supply connectivity VDD1 Inactive VDD2 E E2 - Inh E2 - Inh x Accellera Systems Initiative 23

24 Supply Inherited CM with C/UPF Summary Power domain handling CPF / UPF Semi-automated voltage domain handling Discipline definitions ogical: Multiple supply domains Superficial (not through physical supply connectivity) supply dependence definition Dynamism supported Power-up/down (ramp) & mode transitions Accellera Systems Initiative 24

25 True supply sensitive CM References the ogic Ordinary Module (OM) of the port it is connected to Uses the SS info of the port VDD (*VDD*) E E2 - SS Accellera Systems Initiative 25

26 SS CM implementation connectmodule E2_ss (Ain, Dout); input Ain; electrical Ain; // electrical input output Dout; \logic Dout; // logic output // Supply Sensitivity attributes electrical (* integer supplysensitivity = "cds_globals.\\vdd! " ; *) \vdd! ; electrical (* integer groundsensitivity = "cds_globals.\\vss! " ; *) \vss! ; // INSTANCE PARAMETERS: initial begin end... analog begin end endmodule Accellera Systems Initiative 26

27 Requirements on design elements SS information in all design elements Definitions of Standard cells, IOs and ABMODs input VCC; input VDD; input (* integer supplysensitivity = "VCC" ; integer groundsensitivity = "VSS" ; *) a_3p0v; input (* integer supplysensitivity = "VDD" ; integer groundsensitivity = "VSS" ; *) a_3p0v; Accellera Systems Initiative 27

28 True supply sensitive CM Multiple voltage & power domain Power domain handling CPF / UPF Fully automated voltage domain handling SS constructs in models & RT Dynamism supported Power-up/down (ramp) & mode transitions Digital RT + CPF / G + SDF + SS constr ucts 2E E2 E 2E E2 E BD 2R R2 R BD 2R R2 R BD Analog (Electrical / T) Analog (Real Numbered BMOD) + CPF (not supported) + SDF (not supported) + SS constructs Accellera Systems Initiative 28

29 SS CM: DMS Vs AMS compatibility Homogeneous SS Electrical ABMODs (VAMS) No DMS & always need co-simulation over head VDD (E) VDD (E) E E SS: E Works: No VDD (E) Accellera Systems Initiative 29

30 SS CM: DMS Vs AMS compatibility RN ABMODs with supply as real VDD (R) (BMOD) R R VDD (R) Accellera Systems Initiative 30 VDD (R)

31 SS CM: DMS Vs AMS compatibility Heterogeneous SS CM insertion on the supply path Can also be handled through discipline resolution algorithm & CM optimisation VDD (E) VDD (R) R E SS: E Works: No R VDD (R) Accellera Systems Initiative 31 SS: E Works: No

32 SS CM: imitations 1. ogical power awareness PA GS Requires logical operation on supply Supply path CM insertion Sensitive on itself! Difficult to handle Especially on real supply Hypothesis: If supported Number of CM blows-up Performance / Run time impact Is it really needed? SS on WE/BODY & PA on supply, vice-versa No PA in AMS SS CM handles 1 st stage PA RT/GS/DMS regressions to be PA for coverage Accellera Systems Initiative 32

33 SS CM: imitations 2. Out of module references OOMR Assertions for metric driven verification (MDV) Avoid waveform analysis Improves efficiency CM insertion What is the sensitivity? Use access functions / built-in tasks CDS_GET_ANAOG_VAUE $SNPS_GET_VOT, $SNPS_GET_PORT_CURRENT Avoids CM insertion for OOMR and hence handling SS Accellera Systems Initiative 33

34 SS CM: imitations 3. Performance impact Heterogeneity Number of CMs can blow-up Algorithmic or SS type handling G-AMS Number of CMs can blow-up due to fan-out nodes Algorithmic improvement CM optimisation on signal path Merge supply at least for E2 Accellera Systems Initiative 34

35 SS CM: Superiority No verification side bottleneck in setup Power and SS intent Available at design Accellera Systems Initiative 35

36 Comparison & Recommendations S. No Requirements Static CM Supply inherited CM 1 Power awareness Inherently not supported. Dynamic with global. 2 Multiple voltage and power domains 3 Setup effort G concerns simulation Migration of legacy designs Recommended design flavours & stage Sandwitch configuration Improvements needed AMS Static support using custom setup requiring high effort. Negligible for designs with single supply. High for power managed (PM) designs. None. ow effort. Same as new design. Non-PM designs. No additional effort. String parameter support for vsup. Dynamic, not connectivity aware. High in defining disciplines. Custom automation possible. None. Moderate effort. Same as new design. PM designs at mature stage. Designs not having SS information. Additional discipline handling required. None. Supply sensitive CM Dynamic with actual. Dynamic & connectivity aware. ow. Initial effort spread across each design element. Performance issue due to CM blow-up. High effort. Custom automation possible. PM design at early stage. Designs having SS information. No additional effort. Heterogeneity & Hierarchical CM optimisation. Power aware (CPF/UPF) Dynamic with global. Dynamic, not connectivity aware. None. Reuses existing PA RT simulation flow setup. CPF may be stripped which has SS information. Very high effort, if no CPF/UPF available. PM designs with CPF/UPF, with no G AMS requirement. Not evaluated. G-AMS simulation support. Accellera Systems Initiative 36

37 Conclusions AMS co-simulation is in-escapable for AMS SoC CM (IE) is inherent to any co-simulation for discipline/domain crossings Flavours of CM evolved Static, Supply inherited, SI with C/UPF & Supply sensitive Practical tips, and automation needs identified Power managed designs are common SS CM is superior on intent transfer & reducing verification setup bottleneck imitations exist: Collaboration underway for solutions Comparison and recommendations provided Accellera Systems Initiative 37

38 Questions Thanks for your interest, attention, and time Accellera Systems Initiative 38

Efficient methods for analog mixed signal verification

Efficient methods for analog mixed signal verification Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines Lakshmanan Balasubramanian, Bharath Kumar Poluri, Texas Instruments (India) Pvt. Ltd., Bangalore,

More information

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys Universal Verification Methodology (UVM)-based Random Verification through VCS and CustomSim in Analog Mixed-signal Designs for Faster Coverage Closure Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Equivalence Validation of Analog Behavioral Models

Equivalence Validation of Analog Behavioral Models Equivalence Validation of Analog Behavioral Models Hardik Parekh *, Manish Kumar Karna *, Mohit Jain*, Atul Pandey +, Sandeep Mittal ++ * ST MICROELECTRONICS PVT. LTD., GREATER NOIDA,INDIA { HARDIK.PAREKH,

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Challenges with Power Aware Simulation and Verification Methodologies

Challenges with Power Aware Simulation and Verification Methodologies Challenges with Power Aware Simulation and Verification Methodologies Divyeshkumar Vora Staff Design Engineer Accellera Systems Initiative 1 Agenda Introduction Power-aware (PA) simulation overview Integrated

More information

Verification of CML Circuits in PLL designs with Verilog-AMS. Jonathan David Mixed Signal Design Verification Scintera Networks, Inc

Verification of CML Circuits in PLL designs with Verilog-AMS. Jonathan David Mixed Signal Design Verification Scintera Networks, Inc Verification of CML Circuits in PLL designs with Verilog-AMS Jonathan David Mixed Signal Design Verification Scintera Networks, Inc j.david@ieee.org Aga CML Application Background Example CML Circuits

More information

New Advancements in CPF 2.0 and the Path to Interoperability

New Advancements in CPF 2.0 and the Path to Interoperability New Advancements in CPF 2.0 and the Path to Interoperability Qi Wang Chair of Format Working Group Vice Chair of Low Power Coalition October 2011 Innovation Through Collaboration Agenda 2011 Overview CPF

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

Mixed-signal Modeling Using Simulink based-c

Mixed-signal Modeling Using Simulink based-c Mixed-signal Modeling Using Simulink based-c Shoufeng Mu, Michael Laisne 1 Agenda Objectives of Mixed-signal (MS) modeling Advantages of Simulink based MS modeling Simulink based MS modeling flow 1) Build

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Dynamic Verification of Low Power Design Intent Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions Introduction Customer driven project Verification of Subsystem which includes:

More information

A mixed signal verification platform to verify I/O designs

A mixed signal verification platform to verify I/O designs A mixed signal verification platform to verify I/O designs Dan Bernard Dhaval Sejpal 7/14/11 Introduction My group at IBM develops high-speed custom I/O interfaces for IBM's server processors. In the past,

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE MALLIKARJUNA REDDY. Y, TEST AND VERIFICATION SOLUTIONS K.VENKATRAMANARAO, MINDLANCE TECHNOLOGIES AGENDA Analog Modeling Vs Real Number Modeling

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur FREITAS Régis SANTONJA Accellera Systems Initiative 1 Outline Intro Pre-UVM, Module- Based Environment

More information

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited INTRODUCTION Power management is a major concern throughout the chip design flow from

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Verilog-A Debug Tool: AHDL Linter

Verilog-A Debug Tool: AHDL Linter Verilog-A Debug Tool: AHDL Linter Jushan Xie, Qingping Wu, Art Schaldenbrand, and Andre Baguenier Cadence Design Systems, Inc. Dec. 6, 2017 Beauty of Using Behavioral Modeling Behavioral modeling is the

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Low Power Emulation for Power Intensive Designs

Low Power Emulation for Power Intensive Designs Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1 Agenda Introduction Power Verification - Simulation Power Verification

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Multi-Domain Verification: When Clock, Power and Reset Domains Collide Multi-Domain Verification: When Clock, Power and Reset Domains Collide Ping Yeung, Erich Marschner Design & Verification Technology Mentor Graphics, Fremont, U.S.A. Kaowen Liu Design Technology Division

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Power Aware Models: Overcoming barriers in Power Aware Simulation

Power Aware Models: Overcoming barriers in Power Aware Simulation Power Aware Models: Overcoming barriers in Power Aware Simulation Mohit Jain, STMicroelectronics (mohit-jain.crd@st.com) Amit Singh, STMicroelectronics (amit-ftm.singh@st.com) J.S.S.S.Bharath, STMicroelectronics

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Index. A a (atto) 154 above event 120, 207 restrictions 178

Index. A a (atto) 154 above event 120, 207 restrictions 178 Symbols! (negation) 174!= (inequality) 174!== (not identical) 174 # delay 166, 216 not in analog process 196 $abstime 83, 175 $bound_step 77, 190 $discontinuity 69, 79, 80, 191 $display 192 $driver_...

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07 12 Peter Marwedel TU Dortmund, Informatik 12 2009/11/07 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Modeling levels Levels of hardware modeling Possible set of levels (others exist) System level Algorithmic

More information

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient The Need for Speed: Understanding design factors that make multicore parallel simulations efficient Shobana Sudhakar Design & Verification Technology Mentor Graphics Wilsonville, OR shobana_sudhakar@mentor.com

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Wie entsteht ein EMV-Modell für eine integrierte Schaltung?

Wie entsteht ein EMV-Modell für eine integrierte Schaltung? Wie entsteht ein EMV-Modell für eine integrierte Schaltung? P. Schneider Overview Motivation Introduction Signal- and Power Integrity Simulation Tools Input Data Preparation gds2def & Power Annotation

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Using PLI 2.0 (VPI) with VCS Yes, it really works! (or does it?)

Using PLI 2.0 (VPI) with VCS Yes, it really works! (or does it?) Using PI 2.0 (VPI) with VCS Yes, it really works! (or does it?) Stuart HD, Inc. Portland, Oregon stuart@sutherland-hdl.com Purpose 2 VCS version 6.1 claims to support PI 2.0 What is PI 2.0? What are the

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Real-life low power verification pitfalls, and UPF 1801 for a CPF user Real-life low power verification pitfalls, and UPF 1801 for a CPF user Paul Bailey STMicroelectronics UPD-DSMG R&D DVclub 1 st July 2013 Version 1.0 No part to be reproduced without permission from STMicroelectronics

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur Freitas, Freescale Semiconductor, Inc., Analog & Sensors, Toulouse, France (arthur.freitas@freescale.com)

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Real Portable Models for System/Verilog/A/AMS

Real Portable Models for System/Verilog/A/AMS Real Portable Models for System/Verilog/A/AMS, Inc. Sudbury, MA This talk presents a mixed-signal modeling methodology that uses discrete-time real variables to represent voltages and currents, and is

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Scott R. Little University of Utah Motivation 1 About 75 percent of all chips include analog circuits. These circuits make up 2 percent

More information

Comparison of models. Peter Marwedel Informatik 12, TU Dortmund, Germany 2010/11/07. technische universität dortmund

Comparison of models. Peter Marwedel Informatik 12, TU Dortmund, Germany 2010/11/07. technische universität dortmund 12 Comparison of models Peter Marwedel Informatik 12, TU Dortmund, Germany Graphics: Alexandra Nolte, Gesine Marwedel, 2003 These slides use Microsoft clip arts. Microsoft copyright restrictions apply.

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Analog Verification Concepts: Industrial Deployment Case Studies

Analog Verification Concepts: Industrial Deployment Case Studies Analog Verification Concepts: Industrial Deployment Case Studies Frontiers in Analog CAD (FAC 2014) July, 9-10, 2014, Grenoble, France Peter Rotter, Infineon Technologies AG Agenda Analog Verification

More information

DVCon India 2016 Abstract submission template. Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam

DVCon India 2016 Abstract submission template. Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam DVCon India 2016 Abstract submission template TITLE OF PAPER AUTHOR 1 AUTHOR 2 AUTHOR 3 AUTHOR 4 Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam Email ID: naga@cvcblr.com

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON Torsten Reich, Fraunhofer IIS/EAS IIP Analog IP with Intelligent IP from system to silicon What is Intelligent IP (on silicon level)? Intelligent IP

More information

A Formal Verification Methodology for Checking Data Integrity

A Formal Verification Methodology for Checking Data Integrity A Formal Verification Methodology for ing Data Integrity Yasushi Umezawa, Takeshi Shimizu Fujitsu Laboratories of America, Inc., Sunnyvale, CA, USA yasushi.umezawa@us.fujitsu.com, takeshi.shimizu@us.fujitsu.com

More information

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture Behavioral Simulation Exercises Michael H Perrott August 13, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. A

More information

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd.

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd. Long simulation run times are a bottleneck in the verification process. A lengthy delay between

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

Multi-Lingual Modeling Applications and Issues

Multi-Lingual Modeling Applications and Issues Multi-Lingual Modeling Applications and Issues Bob Ross June 13, 2002 IBIS Summit Meeting New Orleans, Louisiana Benefits of Multi-Lingual Support Model advances beyond IBIS True differential buffers,

More information

Verifying a low power design

Verifying a low power design Verifying a low power design Asif Jafri Verilab Inc. Austin, USA www.verilab.com ABSTRACT User expectations of mobile devices drive an endless race for improvements in both performance and battery life.

More information

Cell-Based Design Flow. TA : 吳廸優

Cell-Based Design Flow. TA : 吳廸優 Cell-Based Design Flow TA : 吳廸優 dywu@viplab.cs.nctu.edu.tw 1 Outline Overview Design Flow Stage 1 RTL Development Synthesis Gate Level Simulation Design Flow Stage 2 Placement and Routing Post Layout Simulation

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information