Design of a High-Speed Asynchronous Turbo Decoder

Size: px
Start display at page:

Download "Design of a High-Speed Asynchronous Turbo Decoder"

Transcription

1 Desgn of a Hgh-Speed Asynchronous Turbo Decoder Pana Golan Georgos D. Dmou, Malla Praash, Peter A. Beerel Department of Electrcal Engneerng-Systems Unversty of Southern Calforna, Los Angeles, CA {pgolandmou,mallap,pabeerel}@usc.edu Abstract Ths paper explores the advantages of hgh performance asynchronous crcuts n a sem-custom standard cell envronment for hgh-throughput turbo codng. Turbo codes are hgh-performance error correcton codes used n applcatons where maxmal nformaton transfer s needed over a lmtedbandwdth communcaton ln n the presence of data corruptng nose. Specfcally we desgned an asynchronous hgh-speed Turbo decoder that can be potentally used for new wreless communcatons protocols wth close to OC-12 throughputs. The desgn has been mplemented usng a new statc sngle-trac-full-buffer (SSTFB) standard cell lbrary n IBM 0.18µm technology that provdes low latency, fast cycle-tme, and more robustness to nose than prevously studed sngle-trac full-buffer technology (STFB). A hgh-speed synchronous counterpart usng the same hgh-speed archtecture s desgned n the same technology for comparson. The results demonstrate that for a varety of networ constrants, the asynchronous desgn provdes advantages n throughput per area. Moreover, the asynchronous desgn can support very low-latency networ constrants not achevable wth the synchronous alternatve. 1. Introducton Drven by overwhelmng desgn-tme constrants, standard-cell based synchronous desgn styles supported by mature CAD desgn tools and a largely automated flow domnate the ASSP and ASIC maret places. As devce feature szes shrn and process varablty ncreases, however, the relance on a global cloc becomes ncreasngly dffcult, yeldng far-from-optmal solutons. Because standard-cell desgns use very conservatve crcut famles and are often over-desgned to accommodate worst-case varatons, the performance and power gap between full-custom and standard-cell desgns contnuously wdens [20]. Recent research demonstrates that t s possble to narrow ths gap usng conventonal standard-cell technques wth asynchronous cell lbrares. For example, two prototype standard-cell lbrares n TSMC 0.25µm technology for two dfferent asynchronous templates have demonstrated hgh-performance [1][2]. One usng a Sngle Trac Full Buffer (STFB) lbrary [1][2] successfully operate over a wde range of temperatures and voltages, wth a measured frequency of over 1.2 GHz at a nomnal 2.5 Volts [1]. Ths should be compared to the typcal 300 MHz standard-cell synchronous desgns achevable n the same process. In addton, a second generaton sngle trac famly called Statc Sngle Trac Full Buffer (SSTFB) has been proposed whch promses to have smlar advantages whle beng more robust to hgher process varablty, crosstal nose, and leaage currents [4][6]. Ths paper explores the lbrary development and applcaton of SSTFB to the asynchronous core of an ultra-hgh-throughput turbo decoder desgned for close to OC-12 data rates [18] wth dfferent data bloc szes. We desgned both synchronous and asynchronous ASIC cores to compare area, throughput, and power. The synchronous desgn requres substantally more parallelsm to match the throughput of the asynchronous desgn. Consequently, our post-layout results on a sgnfcant porton of the desgn, ncludng the crtcal path, ndcate that we acheve up to a 2X mprovement n throughput per area for small to medum bloc szes and can support smaller bloc szes at hgher throughputs than achevable by the synchronous counterpart. The remander of ths paper s organzed as follows. Secton 2 wll cover necessary crcut and an ntroducton to turbo codng, wth emphass on the mplementaton challenges of a hgh-speed turbo decoder. Secton 3 wll ntroduce an effcent hghspeed turbo decodng tree-siso archtecture and our synchronous baselne mplementaton. Secton 4 wll then descrbe our asynchronous mplementaton,

2 coverng both the IBM 0.18µm SSTFB lbrary development as well as P&R desgn flow. Secton 5 wll then descrbe the desgn verfcaton and postlayout performance of both desgns. Secton 6 detals the comparson between synchronous and asynchronous cores. Fnally, Secton 7 concludes and outlnes areas of future wor. 2. Bacground Ths secton begns by descrbng the STFB and SSTFB templates, followed by necessary bacground on Turbo decodng STFB Template Fgure 1. Typcal STFB transstor-level schematc Fgure 1 shows a typcal STFB cell s transstorlevel dagram of a n-bt nput logc functon. When there s no toen n the dual-ral output channel (R0/R1), the 2-nput NOR acts as rght completon detecton (RCD) bloc and asserts the B sgnal, enablng the processng of next set of nput toen. In partcular, when the next set of toens arrve at the n dual-ral nput channels (L0 /L1 ) the logc functon s evaluated and one of the state sgnal S0 or S1 s lowered, dependent upon f the logc functon evaluates to 0 or 1. Smultaneously, the state sgnal lowerng causes the 2-nput NAND gate whch acts as a state completon detecton bloc (SCD) to assert A, resettng the toens from the left channels by drvng all nputs low, overpowerng the correspondng statczers (M1, M2). The presence of the output toen on the rght channel resets the B sgnal whch actvates the two PMOS transstors at the top of the N-stac, restorng S0/S1, and deactvatng the NMOS transstor at the bottom of the N-stac, thus dsablng the stage from frng whle the output channel s busy. The cycle tme of the STFB template s 6 transtons wth the forward latency of 2 transtons. Notce that the output and nput channels can have dfferent toens at the same tme, hghlghtng why ths template s a full buffer [1][2]. Notce also that the NMOS transstor stac (N-stac) s desgned to be sem-wea-condtoned n that t wll not evaluate untl all expected nput toens arrve. Ths combnaton of functonalty and nput completon detecton removes the need of usng a left envronment completon detecton bloc (LCD), reducng the template complexty, sze and cycle-tme. Alternatves such as the PCHB quas-delay-nsenstve template separate the N-stac and LCD functonalty, but are substantally larger and slower [2] Statc STFB Template The basc concern of the STFB crcut famly s that the communcaton wres can be tr-stated for some perod of tme wth only a small statczer fghtng leaage and crosstal nose. Whle effectve for 250nm, the nose margn for ths technology may be too low n deeper submcron processes. In partcular, a cross-couplng nose event on a long tr-stated wre can ether create a new toen or remove a toen from the system causng system falure (often n the form of a deadloc). Moreover, n smaller geometres leaage currents may become so hgh that the statczers would need to be made stronger to the pont that they cannot be easly over-powered. For ths reason, Ferrett et al. [6] proposed a new STFB famly called statc STFB (SSTFB) n whch the channel wres are always actvely drven wth modfed drver crcuts. The functonalty of a SSTFB cell s the same as STFB cell wth a notceable dfference that after the sender drves the lne hgh, the recever s responsble for actvely eepng the lne hgh untl t wants to drve t low as shown n Fgure 2. Smlarly, after the recever drves the lne low, the sender s responsble for actvely eepng the lne low untl t wants to drve t hgh. The lne s always statcally drven and no fght wth statczers exsts. Ths means that the eeper crcutry can be szed to a sutable strength creatng a tradeoff between performance/power/area and robustness to nose. The nverters n the eeper crcutry can be also be sewed such that they turn on early creatng an overlap between the drvng and hold logc (as suggested n [11]). Ths overlap avods the channel wre beng n a tr-state condton thus mang the crcut famly more robust to nose. The overlap also helps ensure that the channel wres are always drven close to the power supples further ncreasng nose margns [4]. In ths way, the lnes are never tr-stated and are statcally drven; explanng whey the crcut famly s called statc STFB. Fgure 3 shows a transstor level dagram of a SSTFB Buffer. 2

3 based on the operatonal condtons of the fnal system. In our desgn we have chosen to mplement a SCCC, whch s nown for ts very low error floor capabltes, but the desgn proposed could be easly modfed to decode any of the other types of codes lsted above. Fgure 2. SSTFB drver crcutry The SSTFB template s very flexble and can be expanded to mplement dfferent functonaltes and non lnear ppelne stages, ncludng a merge, for, and full-. We refer the reader to [4] for more detals. L0 L1 eeper A eeper A B B S0 S1 eeper eeper R0 R1 S0 S1 R0 R1 Fgure 3. Schematc of SSTFB BUFFER 2.3. Turbo decodng Turbo decodng s becomng a very popular soluton for error correcton especally n wreless applcatons [13]. Turbo codng started wth the ntroducton of Parallel Concatenated Convolutonal Codes (PCCC) that were proven to acheve performance that s very close to the theoretcal codng bound defned by Shannon s Capacty. Snce then several varatons have been ntroduced, such as Serally Concatenated Convolutonal Codes (SCCC) and Low Densty Party Chec Codes (LDPCC). The same Turbo-Le decodng schedule could be used to process all of the above. In the case of LDPCC ths s true when the code belongs to a class of LDPCC that can be descrbed as a Generalzed Repeat- Accumulate code (GRA) [21]. All these codes acheve Turbo-Le performance, but vary slghtly n terms of performance and computatonal complexty and the selecton for a partcular desgn s made A B Fgure 4. An example of a 4-state FSM encoder and the correspondng trells used for decodng Theoretcal bacground. The basc Turbo-Le encoder structure nvolves an nterleaver and a set of smple error correcton/detecton codes (most commonly convolutonal codes). The structure on the decoder sde loos very smlar to that of the encoder, wth two ey dfferences and s llustrated n Fgure 5. Frst every code n the encoder s replaced by a Soft-In- Soft-Out (SISO) module. Second the data flow on the decoder s b-drectonal and teratve, and an nterleaver/de-nterleaver module n the decoder replaces the nterleaver on the encoder sde. In our mplementaton one SISO s used that can perform both operatons to reduce desgn complexty. Decoded Data Outer SISO De- Interleaver Interleaver Inner SISO Receved Data Buffer Fgure 5. The decoder structure where each SISO s used to decode one CC. Durng each SISO operaton the data bloc s processed along a trells that represents the state of the encoder durng the transmsson process, as llustrated n Fgure 4. The state number s ndcated nsde each state, whle each branch s characterzed by the values of the nputs and outputs of the state machne (shown as x/y on each branch n Fgure 4). The length of the trells matches the number of bts n the data bloc. Each branch n the trells has a branch metrc assocated wth t (not shown n Fgure 6), updated each teraton, whch corresponds to a noton of the relatve probablty assumng that branch too place n the encoder. The SISO module s responsble for updatng the probablty that at tme the value b was encoded by fndng the 3

4 shortest path through the entre trells that has value b at tme. To do ths, for each trells transton the decoder computes the forward state metrcs whch represent the shortest path from the begnnng of the trells up to that pont and the bacward state metrcs whch represent the shortest path nformaton from the end of the trells up to that transton. Ths s shown n Fgure 6, where the shortest path s shown by the bold lnes n the trells and can be derved by the values of the state metrcs. The decoder then can fnd the shortest path where b =1 and the shortest path on whch b =0. Usng ths nformaton t can produce the new probablty for ths bt beng a 1 relatve to beng a 0 based on the nformaton avalable across all the branches of the trells. t = 0 t = 1 t = 2 t = t = +1 t = K-2 t = K-1 t = K F 0 0 F 1 0 F 0 1 F 1 1 F 0 2 F 1 2 F 0 F 1 B 0 +1 B 1 +1 B 0 K-2 B 1 K-2 B 0 K-1 B 1 K-1 B 0 K B 1 K Fgure 6. An example of a 2-state trells and the assocated metrcs durng the decodng process. In partcular, we have chosen to use the Mn-Sum algorthm for the SISO operaton. The Soft Input to the SISO s defned for each bt at tme as the negatve log-lelhood rato of the probablty of a 1 beng transmtted over that of a 0: b ( log ( P{ b = 1} )) ( log( P{ b = 0} )) SI. (1) = The branch metrcs between state and state (f such exsts) s the ont probablty for the partcular trells branch gven all SI, or: BM = SI. (2) b = 1 The forward and bacward state metrcs for tme nstance are then defned recursvely as follows: F B b ( F BM ) = mn (3) ( B BM ) = mn + + (4) 1 where, F s the value of the forward state metrc for state at tme. The ndex only taes the values for whch the transton from state to state s vald. The state metrc calculatons are also referred to as the Add-Compare-Select operaton or ACS and consttute the maorty of the processng tang place n the SISO. An example 4-bt ACS s shown n Fgure 7. Fgure 7. A bt-ppelned 4-bt ACS operator. The blac rectangles ndcate ppelne boundares The SISO outputs are called the Soft Outputs (SO) for all nput and output bts of the encoder FSM. To prevent the values that are sent between SISO modules n the decoder from growng ndefntely, nstead of the actual value the SISO outputs the dfferental (called the extrnsc SO) between the actual value calculated (also called ntrnsc SO) and the orgnal SI nputted. The fnal quanttes are also saturated to a fxed btwdth, to reduce the complexty of the SISO modules. So the Soft Output for bt b at tme s defned as: SO (, ) (, F + BM + B mnf + BM + B ) = mn (5) + ntrb b + 1 b 1,, = 1 = 0 SO extrb = SO nt SI. (6) rb The decodng process from a top-level standpont starts by the receved sgnal beng translated nto metrcs that represent the probabltes for each of the receved bts. Then a SISO module uses the receved sequence as nputs and produces soft outputs. The soft outputs are then nterleaved (or de-nterleaved dependng on the code) and passed onto the SISO modelng the next convolutonal encoder n the transmt sequence as Soft Inputs. Durng the decodng process the SISOs that correspond to all the codes exchange Soft Input data both n the encoder sequence and n the reverse drecton. Each SISO s fred several tmes and the process terates untl the metrcs stop mprovng, or the maxmum number of teratons s reached. For our comparsons we use 6 teratons whch acheves most of the codng gan wthout beng too computatonally ntensve Hgh-speed mplementaton challenges. The mmedate effect of ths teratve approach s that n order to acheve a certan decoded data rate the SISO b 4

5 has to run several tmes faster nternally n order to eep up wth the data. For example a system usng a code wth two convolutonal codes and decodng usng 5 teratons would have to run roughly 10 tmes faster nternally than the target throughput. The calculaton s teratve and cannot be speed up easly. Several tlng approaches have been developed that brea the bloc nto sub-blocs that can be processed n parallel, but normally the logc tself cannot be sped up further than the state metrc calculaton process ( F and B ) due to the data dependency n that calculaton. The adopted Tree-SISO archtecture addresses ths problem and wll be descrbed n detal n a later secton. Even f the state update loop s broen (as n Massera s and Tree-SISO archtectures [14][7][15]) there are other practcal problems that hnder the throughput. A popular approach to ncrease throughput s to use many unts n parallel. Although ths generally wors, t has mplementaton problems that mae the desgn of very hgh-speed Turbo decoders extremely challengng. The frst problem s related to memory access. As mentoned above the data after beng processed has to be nterleaved between SISO modules. In order to get good codng performance, the nterleaver must use a permutaton that s deally random. Therefore, wth a hgh degree of parallelsm many bts per cycle have to frst be stored nto a RAM structure and then retreved n random order. The usual approach s to have multple bans of RAM that each receves data correspondng to one processed bt. As the data comes out of those bans n random order, t then has to be multplexed and dstrbuted bac to the SISOs. Constrants are placed on the nterleaver to ensure t s clash-free. That not only adds sgnfcant complexty to the decoder, due to the crossbar swtch that has to be bult nto the nterleaver, but also places constrants on the nterleaver desgn that could yeld very sub-optmal nterleaver performance, due to lac of randomness. From a hardware standpont t also requres the nstantaton of many more RAM cores that are extremely small and shallow, that consequently requre a lot more area and power than fewer larger and narrower RAM nstances. The second problem s also a sde effect of the nterleaver presence. The entre bloc of data has to be wrtten nto the nterleaver before the data can read to start the next SISO process. Ths s due to the nterleaver s random permutaton, whch mples that the frst bts of data that have to be fetched are lely to be among the last bts of data prevously stored. Consequently, as the degree of parallelsm s ncreased the processng tme can be lnearly reduced, but the ppelne latency remans constant yeldng dmnshng benefts Throughput (Mbps) # of Parallel Processors Fgure 8. Throughput vs. # of processors Performance degradaton s more pronounced n cases wth small data bloc szes where the ppelne latency s comparable or n extreme stuatons larger than the actual processng tme. Ths does not only occur once, but occurs every SISO operaton. Fgure 8 llustrates ths pont by showng the throughput that a decoder can acheve as a functon of processors to perform one SISO operaton. The graph assumes that each processor runs at 100 MHz for 5 teratons for a code that has two convolutonal codes and a data bloc sze of 2 Kbts # of processors for fxed throughput Processor Frequency (MHz) Fgure 9. # of processors vs. processor frequency Fgure 9 llustrates ths pont from a dfferent perspectve by showng the number of processors that can be used to acheve a throughput of 540 Mbps wth varyng processor frequency (assumng 5 teratons and 2 Kbt data bloc sze). It s easy to see that ncreasng the processor frequency can acheve much larger reducton n the number of processors than the expected lnear functon. 3. Synchronous hgh speed Turbo In order to evaluate the performance of our desgn and demonstrate the capabltes that our asynchronous technology has to offer, we desgned a synchronous core as well to be able to compare area, performance and power between the two desgns. We chose to 5

6 desgn the same unt usng both technologes, usng our SSTFB lbrary for the one and the Artsan lbrary for the other Tree SISO Desgnng a very fast Turbo decoder structure has many challenges as mentoned above. Our goal based on our analyss was to desgn the fastest SISO unt possble so that we can eep the degree of parallelsm requred to a mnmum. For ths reason we chose to use a Tree SISO structure [7][15] whch removes the recursve nature n the data path and thus enables fne gran ppelnng. In order to llustrate ths mplementaton, we must defne one addtonal operaton that merges adacent transtons of the trells nto larger trells sectons that correspond to more than one tme ndex. In ths manner, several state metrcs can be computed smultaneously when the approprate state metrc becomes avalable. The new operaton, called the fuson operaton, s defned as: BM p p, = mn( BM + BM ), m (, ) (7), l, m m, l l p The mn operaton s defned over all vald combnatons of branch metrc pars of startng and endng states. The new branch metrcs correspond to the shortest possble path derved from the merged trells sectons between any par of startng and endng states. The structure used to mplement the SISO s borrowed from prefx structures, but wth the addton of a suffx path that s used to perform the operaton bacwards for the Bacward State Metrc calculaton The code We chose a typcal SCCC turbo code structure wth two 2-state convolutonal codes to reduce the sze of the decoder crcut. The data s frst encoded usng a rate ½ non-recursve convolutonal code wth polynomals [1+D, 1+D] and the results are nterleaved. Next a rate 1 recursve code wth a polynomal [1/(1+D)] s used to re-encode the nterleaved data before transmsson. Overall ths yelds a rate ½ code. Puncturng could be used to acheve hgher code rates and ncrease flexblty, wth mnor modfcatons to the desgn, but ths was not done at ths stage for smplcty. For a bloc sze of K bts the frst code has a trells length of K and the second one of 2K. Therefore the throughput equaton s as follows: f K T = 3K I 2 p + 8M where K s the bloc sze n bts, f s the cloc frequency n Hz (or n the case of the asynchronous desgn the equvalent throughput), I s the number of teratons and 8M s the number of bts that can be processed n parallel. Fnally p s the ppelne latency n terms of cycles. Each SISO operaton has to fnsh and store data bac nto memory, therefore the ppelne overhead s present for every half-teraton. The executon schedule for every teraton s shown n Fgure 10. It should also be noted that only the last teraton produces decoded data, whch s why the throughput s nversely proportonal to the number of teratons. Ppelne Overhead p Inner SISO Processng K/4M p (8) Ppelne Overhead Outer SISO Processng K/8M Fgure 10. Executon schedule of every decoder teraton In the case of our asynchronous desgn M s 1 snce we are gong to use a sngle 8-bt wde SISO processor to acheve the desred throughput. In the case of the synchronous desgn, M wll have to be hgher snce the synchronous desgn s much slower than our asynchronous one and multple processors of sze 8 would be requred to acheve the same throughput. We chose 8 snce t s the mnmum sze Tree-SISO for a 2- state code, and t should be noted that due to the structure of the Tree-SISO a 16-bt wde processor s more complex than two 8-bt wde ones P&R results After the schematc desgn was fnshed t was exported to a Verlog netlst and mported nto SOC Encounter for P&R. The lbrares for the IBM 0.18µm technology were used for characterzaton, and tmng constrants were wrtten to defne the target frequency. The core was placed as a standalone module wthout IO pads. The desgn was placed usng tmng-drven placement and was then routed usng tmng-drven routng. After routng was done the cloc tree was syntheszed and the desgn was taen through further processng to fx hold tme volatons and then the fnal tmng analyss was performed. The cloc frequency that was acheved was 475MHz for the entre 8-bt wde core. The area of the core was 2.46mm 2. We assume 6

7 that for hgher degrees of parallelsm multple copes of ths core could be routed separately and that no performance degradaton would be nduced due to the added crcutry. We also assumed that the cloc crcut would be mostly unaffected and that t would ust be multpled n sze ust le the rest of the crcutry. As a pont of comparson, the prevously publshed fastest turbo desgn acheves approxmately 1Gbps at 6 teratons n a 0.18µm process, usng 32mm 2 area and a sngle-buffered nput memory [19]. The code n [19] s a PCCC whch requres the processng of 2K trells steps/teraton, so that structure would decode approxmately 667Mbps for an SCCC code le the one we chose, whch requres processng of 3K trells steps/teraton. Our synchronous desgn has smlar throughput (653Mbps) for M=6 and usng 14.76mm 2 of core area and 2.17mm 2 of memory area, whch ndcates that our synchronous desgn s comparable wth state-of-the-art decoders found n the lterature. Snce our synchronous and asynchronous cores would use the same memory area, our comparson n Secton 6 only consders the core area. Fgure 11. Asynchronous ASIC desgn flow detaled GDSII data, an abstract vew to support placement and routng n LEF format, and fnally ts symbol. Usng ths lbrary, a largely conventonal standard-cell ASIC bac-end desgn flow usng conventonal place and route tools can be used to create the layout, as llustrated n Fgure 11. Note that we currently use Nanosm to perform analog transstor-level smulatons to verfy both correctness and measure performance. Characterzng the lbrary n LIBERTY format whch enables faster bac-annotated gate-level Verlog smulaton, as suggested by [3] s an area of future wor Lbrary desgn The computaton n the chp conssts of addtons, comparsons, and selectons. Consequently, the SSTFB lbrary needed only 14 cells along wth a varety of subcells used to smplfy the development of new lbrary cells. Extensve spce smulatons were done on the schematc to verfy that all the tmng assumptons and specfcatons were acheved. DRC and LVS checs usng DIVA and ASSURA verfcaton sutes were performed on the layout vews to verfy ther correctness. Our SSTFB lbrary currently ncludes only a sngle sze for each cell. Wth ths crcut technology there are fve ways to combat nose and process varatons: 1) ncrease the sze of the eeper transstors 2) ncrease the mnmum separaton between wres n the place and route flow 3) decrease the maxmum allowable length of any route 4) sheld long communcaton wres and 5) sew the hold nverters (INV_HI and INV_LO) shown n Fgure 3 to create more tme overlap between the drvng and hold transstors. Durng lbrary development, we focused on technques 1) and 5), enablng the use of mnmum separaton between wres wth no sheldng for a maxmum wre length of 400 µm. 4. Asynchronous Turbo Ths secton covers the asynchronous Turbo desgn, ncludng a bref descrpton of the overall desgn flow, the lbrary development, gate-level desgn, and physcal desgn Asynchronous ASIC Desgn Flow For each SSTFB cell needed we created four lbrary vews: functonal vews contans the behavoral descrpton of the cell n Verlog HDL, schematc vews contans the transstor level mplementaton of the cell, layout vew contanng 7

8 State + Metrc Par 1 State + Metrc Par Merge Output For For For For For For For For Fgure 12. An example of 4-bt ACS asynchronous bloc. The blac rectangles are slac matchng buffers and the connectons are dual ral statc sngle-trac channels 4.3. Gate-level desgn of asynchronous Turbo The mplementaton of the asynchronous Turbo core was done usng the same approach as that of the synchronous verson. Schematc entry was used for all the modules, startng wth the smaller ones and movng up the herarchy to the top level, shown n Fgure 12. The herarchcal structure for the two desgns was ept largely dentcal. The dfferences n the asynchronous mplementaton are lsted below: Use of dedcated For cells. The SSTFB lbrary mplements pont-to-pont communcaton between cells and a partcular sgnal cannot for and go to two destnatons. To solve ths problem we created and used dedcated FORK cells to support fanout Slac matchng. Specal desgn consderatons had to be taen to balance the ppelnes n the asynchronous desgn to avod ppelne stallng or starvaton. Another aspect of slac matchng, whch we have not yet taen full advantage of, s the fact that the buffer cells are generally much faster than other logc (e.g., fulls) cells. Ths allows us to use shorter buffer chans for delayng sgnals, because these chans can absorb stalled toens whle not degradng overall performance [5][8] Incorporatng FORKs nsde cells. The use of dedcated FORK cells creates addtonal ppelne stages, whch can ncrease the number of slac matchng buffers needed.. In order to mtgate ths problem we decded to ncorporate the FORK nsde some of the logc cells. For example consder a full cell wth only sum output (FA_S). In order to dstrbute the sum output to two cells, conventonally we used a dedcated FORK cell after at FA_S output. Instead, we created a specal cell, full wth two sum outputs (FA_2S) by copyng the sum output toen nternally, thus havng two sum output channels. In addton to reducng the need for slac cells, the new full cell (FA_2S) s 45% less area than the combnaton of FA_S and an external FORK. The same concept can be appled to the other logc cells also SLACK2 and SLACK4 cells. We observed that that most of our ntal desgn was domnated by SSTFB Buffer cells for slac matchng. Most of the tmes these buffer cells exsted n lnear chans.e. one buffer cell drvng another buffer cell as shown n Fgure 12. In order to save area, we decded to create two types of specal cells SLACK2 and SLACK4 cells whch are functonally equvalent to 2 SSTFB Buffers and 4 SSTFB Buffers n seres, respectvely. The transstor level dagram of a SLACK2 cell s shown n Fgure 13. L1 S0 S1 L0 A0 A0 B0 A0 B0 S1 M0 M1 S0 M1 X0 B0 X1 M0 A1 A1 B1 B1 X1 XO A1 R0 R1 Fgure 13. SLACK2 transstor level dagram In SLACK2 the nternal channel (M0-M1) s dynamcally drven by a POUT drver qute smlar to the output drver used n STFB cells [6]. Because they are short and nternal to the cell, they have mnmal potental crosstal nose due to couplng capactance and thus are relatvely safe. Moreover, because the nternal wres are short, we used mnmum transstor szes, savng both area and power. In partcular, SLACK2 and SLACK4 cells have 17% and 30% less layout area compared to 2 and 4 Buffers, respectvely. Moreover, pre-layout transstor level smulatons ndcate that SLACK2 cells and SLACK4 consume 10% and 19% less power compared to 2 Buffers and 4 Buffers, respectvely. R1 B1 R0 8

9 4.4. P&R and desgn results The desgn was place and routed usng Cadence SOC Encounter n a smlar fashon as the synchronous counterpart. Congeston based placement was performed and the routng was performed on the desgn usng Nanoroute. The fnal core has 70% utlzaton and the fnal area consumed by the logc s 6.92mm 2. Ths verson of the core s prelmnary because there s stll some slac matchng needed to acheve our target throughput and some lbrary development needed to remove all DRC volatons. However, the core s fully routed usng 6 metal layers showng that the desgn s routable. 5. Verfcaton and Smulaton Results Ths secton covers the desgn verfcaton of the asynchronous turbo and ts smulaton results Desgn Verfcaton The schematc of the desgn was converted nto a Verlog netlst and the smulaton was performed usng our Verlog models for the SSTFB cells n NC- Sm. Due to the sze of the desgn and the nstantaton of multple dentcal components, the verfcaton was performed n a bottom-up fashon. We started wth smple cells such as s and moved up the herarchy to ACS unts, state update nodes, branch metrc calculaton unts, the completon logc, and fnally the top-level module. For each module a set of vectors were generated that would test all corner cases of ts behavor and the results were verfed and cross referenced to the synchronous counterpart Post-Layout ECO and smulaton results To estmate the performance of the chp we smulated the 55K-transstor module that mplements Equatons 5 and 6. Ths module contans an 8-bt rpple carry chan of full s whch ncludes the crtcal cycle of the desgn. Ths module represents around 1/30 th of the complete desgn but s the most computatonally ntensve module n the SISO. To mprove the performance of the desgn we added SSTFB Buffers on long wres usng the ECO flow n SOC Encounter. The addton of the buffers ncreased the modules throughput by 26% and ncreased the utlzaton factor from 70% to 76% but otherwse dd not mpact area. The fnal layout was extracted usng Assura RC n coupled mode and the crcut was smulated usng Nanosm, yeldng a throughput of 1.15GHz. 6. Prelmnary Comparsons The prevous two sectons descrbed the synchronous baselne and asynchronous desgns n detal and showed ther post P&R results. Ths secton compares the performance, area, throughput/area, energy and power/area results for the two desgns. Because the SSTFB standard cell lbrary has only one sze per cell, the number we present are conservatve and may mprove f we developed and used more szes per cell. Bloc Sze (bts) Async T (Mbps) Sync T (Mbps) M Sync area (mm 2 ) T/area rato Table 1. Throughput per area comparson 6.1. Performance estmates and comparson The frequency of the post P&R synchronous core s 475 MHz. From the post layout smulaton explaned n Secton 5.2 we expect the asynchronous core frequency to be approxmately 1.15GHz. Thus, we expect the asynchronous core to run 2.4 tmes faster then ts synchronous counterpart Area comparson The logc area of the synchronous and asynchronous cores are 2.46mm 2 and 6.92mm 2, respectvely. Both asynchronous and synchronous cores mplement the exact same functon wth the same degree of parallelsm. However snce the asynchronous core s 2.4 tmes faster and has smaller ppelne latency than the synchronous core, we must nstantate the synchronous core many tmes n order to match the throughput, as descrbed n Secton Substtutng the numbers n Equaton (8), we compute that for equvalent throughput wth 6 teratons and ppelne latences of 60 cycles for the synchronous desgn and 32 equvalent cycles for the asynchronous one, the 9

10 number of requred synchronous cores vares from 11 for a throughput of 418Mbps for bloc sze of 768bts to 3 for a throughput of 490Mbps for bloc sze of 4Kbts Throughput/area comparson Throughput/area s another mportant metrc for the comparson, snce t ndcates the performance n relaton to the area used to acheve t. We chose to use throughput/area nstead of ust area for comparable throughputs as a metrc for our comparsons. Ths s because the throughput that s achevable by each desgn s not exactly equal, so the rato comparson provdes a normalzed metrc that s farer. From Table 1 we can see for example that for a bloc sze of 1Kbts whch s a very common bloc sze used n wreless applcatons we obtan a throughput per area advantage of The advantages are even bgger for smaller bloc szes, and for bloc szes of 512 or smaller, the synchronous desgn cannot match the throughput of the asynchronous counterpart, regardless of the degree of parallelsm M. As the bloc sze ncreases, latency becomes less of a crtcal factor and the two desgns become more comparable. Bloc Sze (bts) Energy per bloc (sync) Energy per bloc (async) Rato E E E E E E E E Table 2. Energy per bloc comparson 6.4. Energy Comparsons From the post-layout spce smulaton the power consumed of the selected module s 0.53W. If we extrapolate the number we expect the power of the complete Tree SISO to be approxmately 15.5W. The power for a sngle synchronous core (M=1) s 1.72W. From Table 2 we can see that for smaller bloc szes we are more energy effcent than the synchronous desgn, but for larger bloc szes the synchronous desgn more effcent. It should be stated that the power calculaton for both desgns was performed for the worst case scenaro, namely wth the unts processng data at the maxmum rate. Even though the calculaton s based on pea power, we beleve that the numbers mght be conservatve, but the rato should be representatve of the relatve power consumpton of the two desgns. We have also not ncluded leaage power comparsons n the calculatons, but gven that the asynchronous desgn requres less area for the same throughput and leaage power s proportonal to the total area, we expect to have an advantage n respect to that aspect as well Desgn Tme Comparsons Another mportant metrc to be compared s desgn tme. The desgn of synchronous turbo decoder was done usng front end vews of the standard cell lbrary provded by Artsan. The man steps nvolved were desgn conceptualzaton, schematc entry of the desgn, verfcaton of the desgn, Placement and Routng and fnally tmng enclosure of the desgn usng PrmeTme. Ths desgn effort too approxmately 3-4 graduatestudent months. The desgn of the asynchronous counterpart nvolved almost the same steps wth two notceable dfference. Frst, we had to create our own standard cell lbrary. Second, due to the lac of statc tmng analyss tools, tmng verfcaton and closure was performed usng tme-consumng Nanosm smulatons. The creaton of standard cell lbrary too 5 graduate-student months and the tmng closure and verfcaton too approxmately 10 graduate-student months. Also we want to mae clear that the asynchronous desgn s not yet complete as there remans some DRC errors to be removed n the fnal layout. 7. Summary and conclusons Our results demonstrate that SSTFB asynchronous turbo decoder s benefcal for small to medum bloc szes. Prelmnary comparsons show that the asynchronous turbo decoder can offer more than 2X advantage n throughput per area for bloc szes of 1K bts or less and smaller energy per bloc for bloc szes of 768 bts or less. Thus the asynchronous desgn s partcularly useful n low latency wreless applcatons n whch bloc sze must be small. More generally, ths desgn experment demonstrates the potental benefts of hgh-performance low-latency asynchronous lbrares and standard-cell desgn flows for processng ntensve applcatons. However, ths chp desgn also motvates a number of areas of future wor. The current SSTFB lbrary has only one sze per cell. Whle ths s suffcent to acheve hgh performance, multple szes for each cell can sgnfcantly reduce the overall capactance and power consumpton. In addton, 64% of the cell nstances n 10

11 the Tree SISO desgn are dual-ral buffers for slac matchng. If these are replaced by 1-of-4 or 1-of-8 buffers (that have less swtchng actvty per bt), sgnfcant reductons n power consumpton s lely. Fnally, n order to obtan our target performance we must perform an ECO slac-matchng flow on the entre desgn n order to mtgate the performance degradaton of long wres. Ths process s currently manual, however we hope to automate ths process wth a CAD tool that uses the slac matchng technque descrbed n [5] and the bac-annotaton flow descrbed n [3]. Acnowledgements We would le to than the anonymous revewers for helpful comments on earler drafts of ths wor. Ths wor was partally supported by NSF ITR Award No. CCR References [1] M. Ferrett and P. A. Beerel. Hgh Performance Asynchronous Desgn Usng Sngle-Trac Full-Buffer Standard Cells, IEEE Journal of Sold-State Crcuts, Vol. 41, No. 6, pp , June [2] M. Ferrett and P. A. Beerel. Sngle-Trac Asynchronous Ppelne Templates usng 1-of-N Encodng, DATE 02, Mar [3] P. Golan and P. A. Beerel. Bac-Annotaton n Hgh- Speed Asynchronous Desgn, Journal of Low power Electroncs, Vol. 2, pp , [4] P. Golan and P. A. Beerel. Hgh Speed Nose Robust Asynchronous Crcuts, ISVLSI 06, March, Pages: [5] P. A Beerel, A. Lnes, M. Daves, N.-H. Km. Slac matchng asynchronous desgns. ASYNC 06, March [6] M. Ferrett. Sngle-trac Asynchronous Ppelne Template, Ph.D. Thess, Unversty of Southern Calforna, Aug., [7] P. A. Beerel and K. M. Chugg. A Low Latency SISO wth Applcaton to Broadband Turbo Decodng, IEEE Journal on Selected Areas n Communcatons, Vol. 19 Issue 5, May, [8] R. Manohar and A. J. Martn. Slac Elastcty n Concurrent Computng. Proceedngs of the Fourth Internatonal Conference on the Mathematcs of Program Constructon, Lecture Notes n Computer Scence 1422, pp , Sprnger-Verlag [9] A. J. Martn, A. Lnes, R. Manohar, M. Nystrom, P. Penzes, R. Southworth, U. Cummngs and T. K. Lee. The Desgn of an Asynchronous MIPS R3000 Mcroprocessor. ARVLSI 97, [10] M. Nyström, E. Ou, A. J. Martn. An Eght-bt Dvder Implemented n Asynchronous Pulse Logc. ASYNC 04, Aprl [11] K. van Berel, and A. Bn. Sngle-Trac Handshae Sgnalng wth Applcaton to Mcroppelnes and Handshae Crcuts, ASYNC 06, pp , [12] I. E. Sutherland and S. Farbans. GasP: a Mnmal FIFO Control. ASYNC 01, pp , March [13] K. M. Chugg, A. Anastasopoulos, and X. Chen. Iteratve Detecton: Adaptvty, Complexty Reducton, and Applcatons. Kluwer Academc Press, [14] G. Masera, G. Pccnn M. Ruo Roch, and M. Zambon. VLSI Archtectures for Turbo Codes. IEEE Transactons on Very Large Scale Integraton (VLSI) Systems, Vol. 7, No. 3, September 1999 [15] P. Thennvboon and K. M. Chugg. A Low-Latency SISO va Message Passng on a Bnary Tree, Allerton Conf., Urbana, IL, Oct [16] A. J. Vterb. An Intutve Justfcaton and a Smplfed Implementaton of the MAP Decoder for Convolutonal Codes, IEEE Journal on Selected Areas n Communcatons, Vol. 16, No. 2, February [17] R. Dobn and M. Peleg. Parallel Interleaver Desgn and VLSI Archtecture for Low Latency Map Turbo Decoders, IEEE Transactons on VLSI Systems, Aprl [18] IEEE Worng Groups Web Page. [19] B. Bougard, A. Gulett L.Van der Perre, F. Catthoor. A Class of Power Effcent VLSI Archtectures for Hgh Speed Turbo-Decodng, IEEE Global Telecommuncatons Conference, Vol. 1, pp , 2002 [20] D. Chnery and K. Keutzer, Closng the Gap between ASIC & Custom. Tools and Technques for Hgh Performance ASIC desgn. Kluwer Academc Publshers, ISBN [21] K. M. Chugg, P. Thennvboon, G.D. Dmou, P. Gray, and J. Melzer, A new class of turbo-le codes wth unversally good performance and hgh-speed decodng, n IEEE Mltary Communcatons Conference, Vol. 5, pp , Oct

Parallelism for Nested Loops with Non-uniform and Flow Dependences

Parallelism for Nested Loops with Non-uniform and Flow Dependences Parallelsm for Nested Loops wth Non-unform and Flow Dependences Sam-Jn Jeong Dept. of Informaton & Communcaton Engneerng, Cheonan Unversty, 5, Anseo-dong, Cheonan, Chungnam, 330-80, Korea. seong@cheonan.ac.kr

More information

An Optimal Algorithm for Prufer Codes *

An Optimal Algorithm for Prufer Codes * J. Software Engneerng & Applcatons, 2009, 2: 111-115 do:10.4236/jsea.2009.22016 Publshed Onlne July 2009 (www.scrp.org/journal/jsea) An Optmal Algorthm for Prufer Codes * Xaodong Wang 1, 2, Le Wang 3,

More information

Conditional Speculative Decimal Addition*

Conditional Speculative Decimal Addition* Condtonal Speculatve Decmal Addton Alvaro Vazquez and Elsardo Antelo Dep. of Electronc and Computer Engneerng Unv. of Santago de Compostela, Span Ths work was supported n part by Xunta de Galca under grant

More information

Explicit Formulas and Efficient Algorithm for Moment Computation of Coupled RC Trees with Lumped and Distributed Elements

Explicit Formulas and Efficient Algorithm for Moment Computation of Coupled RC Trees with Lumped and Distributed Elements Explct Formulas and Effcent Algorthm for Moment Computaton of Coupled RC Trees wth Lumped and Dstrbuted Elements Qngan Yu and Ernest S.Kuh Electroncs Research Lab. Unv. of Calforna at Berkeley Berkeley

More information

A Binarization Algorithm specialized on Document Images and Photos

A Binarization Algorithm specialized on Document Images and Photos A Bnarzaton Algorthm specalzed on Document mages and Photos Ergna Kavalleratou Dept. of nformaton and Communcaton Systems Engneerng Unversty of the Aegean kavalleratou@aegean.gr Abstract n ths paper, a

More information

A Fast Visual Tracking Algorithm Based on Circle Pixels Matching

A Fast Visual Tracking Algorithm Based on Circle Pixels Matching A Fast Vsual Trackng Algorthm Based on Crcle Pxels Matchng Zhqang Hou hou_zhq@sohu.com Chongzhao Han czhan@mal.xjtu.edu.cn Ln Zheng Abstract: A fast vsual trackng algorthm based on crcle pxels matchng

More information

CHAPTER 4 PARALLEL PREFIX ADDER

CHAPTER 4 PARALLEL PREFIX ADDER 93 CHAPTER 4 PARALLEL PREFIX ADDER 4.1 INTRODUCTION VLSI Integer adders fnd applcatons n Arthmetc and Logc Unts (ALUs), mcroprocessors and memory addressng unts. Speed of the adder often decdes the mnmum

More information

Load Balancing for Hex-Cell Interconnection Network

Load Balancing for Hex-Cell Interconnection Network Int. J. Communcatons, Network and System Scences,,, - Publshed Onlne Aprl n ScRes. http://www.scrp.org/journal/jcns http://dx.do.org/./jcns.. Load Balancng for Hex-Cell Interconnecton Network Saher Manaseer,

More information

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms Course Introducton Course Topcs Exams, abs, Proects A quc loo at a few algorthms 1 Advanced Data Structures and Algorthms Descrpton: We are gong to dscuss algorthm complexty analyss, algorthm desgn technques

More information

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration Improvement of Spatal Resoluton Usng BlockMatchng Based Moton Estmaton and Frame Integraton Danya Suga and Takayuk Hamamoto Graduate School of Engneerng, Tokyo Unversty of Scence, 6-3-1, Nuku, Katsuska-ku,

More information

An Entropy-Based Approach to Integrated Information Needs Assessment

An Entropy-Based Approach to Integrated Information Needs Assessment Dstrbuton Statement A: Approved for publc release; dstrbuton s unlmted. An Entropy-Based Approach to ntegrated nformaton Needs Assessment June 8, 2004 Wllam J. Farrell Lockheed Martn Advanced Technology

More information

Parallel matrix-vector multiplication

Parallel matrix-vector multiplication Appendx A Parallel matrx-vector multplcaton The reduced transton matrx of the three-dmensonal cage model for gel electrophoress, descrbed n secton 3.2, becomes excessvely large for polymer lengths more

More information

Convolutional interleaver for unequal error protection of turbo codes

Convolutional interleaver for unequal error protection of turbo codes Convolutonal nterleaver for unequal error protecton of turbo codes Sna Vaf, Tadeusz Wysock, Ian Burnett Unversty of Wollongong, SW 2522, Australa E-mal:{sv39,wysock,an_burnett}@uow.edu.au Abstract: Ths

More information

Private Information Retrieval (PIR)

Private Information Retrieval (PIR) 2 Levente Buttyán Problem formulaton Alce wants to obtan nformaton from a database, but she does not want the database to learn whch nformaton she wanted e.g., Alce s an nvestor queryng a stock-market

More information

Sorting Review. Sorting. Comparison Sorting. CSE 680 Prof. Roger Crawfis. Assumptions

Sorting Review. Sorting. Comparison Sorting. CSE 680 Prof. Roger Crawfis. Assumptions Sortng Revew Introducton to Algorthms Qucksort CSE 680 Prof. Roger Crawfs Inserton Sort T(n) = Θ(n 2 ) In-place Merge Sort T(n) = Θ(n lg(n)) Not n-place Selecton Sort (from homework) T(n) = Θ(n 2 ) In-place

More information

Tsinghua University at TAC 2009: Summarizing Multi-documents by Information Distance

Tsinghua University at TAC 2009: Summarizing Multi-documents by Information Distance Tsnghua Unversty at TAC 2009: Summarzng Mult-documents by Informaton Dstance Chong Long, Mnle Huang, Xaoyan Zhu State Key Laboratory of Intellgent Technology and Systems, Tsnghua Natonal Laboratory for

More information

Mathematics 256 a course in differential equations for engineering students

Mathematics 256 a course in differential equations for engineering students Mathematcs 56 a course n dfferental equatons for engneerng students Chapter 5. More effcent methods of numercal soluton Euler s method s qute neffcent. Because the error s essentally proportonal to the

More information

A Saturation Binary Neural Network for Crossbar Switching Problem

A Saturation Binary Neural Network for Crossbar Switching Problem A Saturaton Bnary Neural Network for Crossbar Swtchng Problem Cu Zhang 1, L-Qng Zhao 2, and Rong-Long Wang 2 1 Department of Autocontrol, Laonng Insttute of Scence and Technology, Benx, Chna bxlkyzhangcu@163.com

More information

Reducing Frame Rate for Object Tracking

Reducing Frame Rate for Object Tracking Reducng Frame Rate for Object Trackng Pavel Korshunov 1 and We Tsang Oo 2 1 Natonal Unversty of Sngapore, Sngapore 11977, pavelkor@comp.nus.edu.sg 2 Natonal Unversty of Sngapore, Sngapore 11977, oowt@comp.nus.edu.sg

More information

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011 9/8/2 2 Outlne Appendx C: The Bascs of Logc Desgn TDT4255 Computer Desgn Case Study: TDT4255 Communcaton Module Lecture 2 Magnus Jahre 3 4 Dgtal Systems C.2: Gates, Truth Tables and Logc Equatons All sgnals

More information

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers

Content Based Image Retrieval Using 2-D Discrete Wavelet with Texture Feature with Different Classifiers IOSR Journal of Electroncs and Communcaton Engneerng (IOSR-JECE) e-issn: 78-834,p- ISSN: 78-8735.Volume 9, Issue, Ver. IV (Mar - Apr. 04), PP 0-07 Content Based Image Retreval Usng -D Dscrete Wavelet wth

More information

Simulation Based Analysis of FAST TCP using OMNET++

Simulation Based Analysis of FAST TCP using OMNET++ Smulaton Based Analyss of FAST TCP usng OMNET++ Umar ul Hassan 04030038@lums.edu.pk Md Term Report CS678 Topcs n Internet Research Sprng, 2006 Introducton Internet traffc s doublng roughly every 3 months

More information

A Fast Content-Based Multimedia Retrieval Technique Using Compressed Data

A Fast Content-Based Multimedia Retrieval Technique Using Compressed Data A Fast Content-Based Multmeda Retreval Technque Usng Compressed Data Borko Furht and Pornvt Saksobhavvat NSF Multmeda Laboratory Florda Atlantc Unversty, Boca Raton, Florda 3343 ABSTRACT In ths paper,

More information

AADL : about scheduling analysis

AADL : about scheduling analysis AADL : about schedulng analyss Schedulng analyss, what s t? Embedded real-tme crtcal systems have temporal constrants to meet (e.g. deadlne). Many systems are bult wth operatng systems provdng multtaskng

More information

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz Compler Desgn Sprng 2014 Regster Allocaton Sample Exercses and Solutons Prof. Pedro C. Dnz USC / Informaton Scences Insttute 4676 Admralty Way, Sute 1001 Marna del Rey, Calforna 90292 pedro@s.edu Regster

More information

CPE 628 Chapter 2 Design for Testability. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 2 Design for Testability. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 2 Desgn for Testablty Dr Rhonda Kay Gaede UAH 2 Introducton Dffcultes n and the states of sequental crcuts led to provdng drect access for storage elements, whereby selected storage elements are

More information

Wishing you all a Total Quality New Year!

Wishing you all a Total Quality New Year! Total Qualty Management and Sx Sgma Post Graduate Program 214-15 Sesson 4 Vnay Kumar Kalakband Assstant Professor Operatons & Systems Area 1 Wshng you all a Total Qualty New Year! Hope you acheve Sx sgma

More information

Evaluation of an Enhanced Scheme for High-level Nested Network Mobility

Evaluation of an Enhanced Scheme for High-level Nested Network Mobility IJCSNS Internatonal Journal of Computer Scence and Network Securty, VOL.15 No.10, October 2015 1 Evaluaton of an Enhanced Scheme for Hgh-level Nested Network Moblty Mohammed Babker Al Mohammed, Asha Hassan.

More information

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields 17 th European Symposum on Computer Aded Process Engneerng ESCAPE17 V. Plesu and P.S. Agach (Edtors) 2007 Elsever B.V. All rghts reserved. 1 A mathematcal programmng approach to the analyss, desgn and

More information

6.854 Advanced Algorithms Petar Maymounkov Problem Set 11 (November 23, 2005) With: Benjamin Rossman, Oren Weimann, and Pouya Kheradpour

6.854 Advanced Algorithms Petar Maymounkov Problem Set 11 (November 23, 2005) With: Benjamin Rossman, Oren Weimann, and Pouya Kheradpour 6.854 Advanced Algorthms Petar Maymounkov Problem Set 11 (November 23, 2005) Wth: Benjamn Rossman, Oren Wemann, and Pouya Kheradpour Problem 1. We reduce vertex cover to MAX-SAT wth weghts, such that the

More information

The Codesign Challenge

The Codesign Challenge ECE 4530 Codesgn Challenge Fall 2007 Hardware/Software Codesgn The Codesgn Challenge Objectves In the codesgn challenge, your task s to accelerate a gven software reference mplementaton as fast as possble.

More information

DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT

DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT Bran J. Wolf, Joseph L. Hammond, and Harlan B. Russell Dept. of Electrcal and Computer Engneerng, Clemson Unversty,

More information

Newton-Raphson division module via truncated multipliers

Newton-Raphson division module via truncated multipliers Newton-Raphson dvson module va truncated multplers Alexandar Tzakov Department of Electrcal and Computer Engneerng Illnos Insttute of Technology Chcago,IL 60616, USA Abstract Reducton n area and power

More information

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory Background EECS. Operatng System Fundamentals No. Vrtual Memory Prof. Hu Jang Department of Electrcal Engneerng and Computer Scence, York Unversty Memory-management methods normally requres the entre process

More information

Support Vector Machines

Support Vector Machines /9/207 MIST.6060 Busness Intellgence and Data Mnng What are Support Vector Machnes? Support Vector Machnes Support Vector Machnes (SVMs) are supervsed learnng technques that analyze data and recognze patterns.

More information

Overview. Basic Setup [9] Motivation and Tasks. Modularization 2008/2/20 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION

Overview. Basic Setup [9] Motivation and Tasks. Modularization 2008/2/20 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION Overvew 2 IMPROVED COVERAGE CONTROL USING ONLY LOCAL INFORMATION Introducton Mult- Smulator MASIM Theoretcal Work and Smulaton Results Concluson Jay Wagenpfel, Adran Trachte Motvaton and Tasks Basc Setup

More information

ELEC 377 Operating Systems. Week 6 Class 3

ELEC 377 Operating Systems. Week 6 Class 3 ELEC 377 Operatng Systems Week 6 Class 3 Last Class Memory Management Memory Pagng Pagng Structure ELEC 377 Operatng Systems Today Pagng Szes Vrtual Memory Concept Demand Pagng ELEC 377 Operatng Systems

More information

Area Efficient Self Timed Adders For Low Power Applications in VLSI

Area Efficient Self Timed Adders For Low Power Applications in VLSI ISSN(Onlne): 2319-8753 ISSN (Prnt) :2347-6710 Internatonal Journal of Innovatve Research n Scence, Engneerng and Technology (An ISO 3297: 2007 Certfed Organzaton) Area Effcent Self Tmed Adders For Low

More information

Efficient Distributed File System (EDFS)

Efficient Distributed File System (EDFS) Effcent Dstrbuted Fle System (EDFS) (Sem-Centralzed) Debessay(Debsh) Fesehaye, Rahul Malk & Klara Naherstedt Unversty of Illnos-Urbana Champagn Contents Problem Statement, Related Work, EDFS Desgn Rate

More information

The Impact of Delayed Acknowledgement on E-TCP Performance In Wireless networks

The Impact of Delayed Acknowledgement on E-TCP Performance In Wireless networks The mpact of Delayed Acknoledgement on E-TCP Performance n Wreless netorks Deddy Chandra and Rchard J. Harrs School of Electrcal and Computer System Engneerng Royal Melbourne nsttute of Technology Melbourne,

More information

Needed Information to do Allocation

Needed Information to do Allocation Complexty n the Database Allocaton Desgn Must tae relatonshp between fragments nto account Cost of ntegrty enforcements Constrants on response-tme, storage, and processng capablty Needed Informaton to

More information

Term Weighting Classification System Using the Chi-square Statistic for the Classification Subtask at NTCIR-6 Patent Retrieval Task

Term Weighting Classification System Using the Chi-square Statistic for the Classification Subtask at NTCIR-6 Patent Retrieval Task Proceedngs of NTCIR-6 Workshop Meetng, May 15-18, 2007, Tokyo, Japan Term Weghtng Classfcaton System Usng the Ch-square Statstc for the Classfcaton Subtask at NTCIR-6 Patent Retreval Task Kotaro Hashmoto

More information

Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits

Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits Repeater Inserton for Two-Termnal Nets n Three-Dmensonal Integrated Crcuts Hu Xu, Vasls F. Pavlds, and Govann De Mchel LSI - EPFL, CH-5, Swtzerland, {hu.xu,vasleos.pavlds,govann.demchel}@epfl.ch Abstract.

More information

Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR

Modeling Multiple Input Switching of CMOS Gates in DSM Technology Using HDMR 1 Modelng Multple Input Swtchng of CMOS Gates n DSM Technology Usng HDMR Jayashree Srdharan and Tom Chen Dept. of Electrcal and Computer Engneerng Colorado State Unversty, Fort Collns, CO, 8523, USA (jaya@engr.colostate.edu,

More information

Array transposition in CUDA shared memory

Array transposition in CUDA shared memory Array transposton n CUDA shared memory Mke Gles February 19, 2014 Abstract Ths short note s nspred by some code wrtten by Jeremy Appleyard for the transposton of data through shared memory. I had some

More information

Module Management Tool in Software Development Organizations

Module Management Tool in Software Development Organizations Journal of Computer Scence (5): 8-, 7 ISSN 59-66 7 Scence Publcatons Management Tool n Software Development Organzatons Ahmad A. Al-Rababah and Mohammad A. Al-Rababah Faculty of IT, Al-Ahlyyah Amman Unversty,

More information

Improving The Test Quality for Scan-based BIST Using A General Test Application Scheme

Improving The Test Quality for Scan-based BIST Using A General Test Application Scheme _ Improvng The Test Qualty for can-based BIT Usng A General Test Applcaton cheme Huan-Chh Tsa Kwang-Tng Cheng udpta Bhawmk Department of ECE Bell Laboratores Unversty of Calforna Lucent Technologes anta

More information

Programming in Fortran 90 : 2017/2018

Programming in Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Programmng n Fortran 90 : 2017/2018 Exercse 1 : Evaluaton of functon dependng on nput Wrte a program who evaluate the functon f (x,y) for any two user specfed values

More information

A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS

A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS Proceedngs of the Wnter Smulaton Conference M E Kuhl, N M Steger, F B Armstrong, and J A Jones, eds A MOVING MESH APPROACH FOR SIMULATION BUDGET ALLOCATION ON CONTINUOUS DOMAINS Mark W Brantley Chun-Hung

More information

Maintaining temporal validity of real-time data on non-continuously executing resources

Maintaining temporal validity of real-time data on non-continuously executing resources Mantanng temporal valdty of real-tme data on non-contnuously executng resources Tan Ba, Hong Lu and Juan Yang Hunan Insttute of Scence and Technology, College of Computer Scence, 44, Yueyang, Chna Wuhan

More information

The Shortest Path of Touring Lines given in the Plane

The Shortest Path of Touring Lines given in the Plane Send Orders for Reprnts to reprnts@benthamscence.ae 262 The Open Cybernetcs & Systemcs Journal, 2015, 9, 262-267 The Shortest Path of Tourng Lnes gven n the Plane Open Access Ljuan Wang 1,2, Dandan He

More information

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009.

Assignment # 2. Farrukh Jabeen Algorithms 510 Assignment #2 Due Date: June 15, 2009. Farrukh Jabeen Algorthms 51 Assgnment #2 Due Date: June 15, 29. Assgnment # 2 Chapter 3 Dscrete Fourer Transforms Implement the FFT for the DFT. Descrbed n sectons 3.1 and 3.2. Delverables: 1. Concse descrpton

More information

Mallathahally, Bangalore, India 1 2

Mallathahally, Bangalore, India 1 2 7 IMPLEMENTATION OF HIGH PERFORMANCE BINARY SQUARER PRADEEP M C, RAMESH S, Department of Electroncs and Communcaton Engneerng, Dr. Ambedkar Insttute of Technology, Mallathahally, Bangalore, Inda pradeepmc@gmal.com,

More information

Helsinki University Of Technology, Systems Analysis Laboratory Mat Independent research projects in applied mathematics (3 cr)

Helsinki University Of Technology, Systems Analysis Laboratory Mat Independent research projects in applied mathematics (3 cr) Helsnk Unversty Of Technology, Systems Analyss Laboratory Mat-2.08 Independent research projects n appled mathematcs (3 cr) "! #$&% Antt Laukkanen 506 R ajlaukka@cc.hut.f 2 Introducton...3 2 Multattrbute

More information

Verification by testing

Verification by testing Real-Tme Systems Specfcaton Implementaton System models Executon-tme analyss Verfcaton Verfcaton by testng Dad? How do they know how much weght a brdge can handle? They drve bgger and bgger trucks over

More information

An Efficient Garbage Collection for Flash Memory-Based Virtual Memory Systems

An Efficient Garbage Collection for Flash Memory-Based Virtual Memory Systems S. J and D. Shn: An Effcent Garbage Collecton for Flash Memory-Based Vrtual Memory Systems 2355 An Effcent Garbage Collecton for Flash Memory-Based Vrtual Memory Systems Seunggu J and Dongkun Shn, Member,

More information

Solving two-person zero-sum game by Matlab

Solving two-person zero-sum game by Matlab Appled Mechancs and Materals Onlne: 2011-02-02 ISSN: 1662-7482, Vols. 50-51, pp 262-265 do:10.4028/www.scentfc.net/amm.50-51.262 2011 Trans Tech Publcatons, Swtzerland Solvng two-person zero-sum game by

More information

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1)

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1) Secton 1.2 Subsets and the Boolean operatons on sets If every element of the set A s an element of the set B, we say that A s a subset of B, or that A s contaned n B, or that B contans A, and we wrte A

More information

RADIX-10 PARALLEL DECIMAL MULTIPLIER

RADIX-10 PARALLEL DECIMAL MULTIPLIER RADIX-10 PARALLEL DECIMAL MULTIPLIER 1 MRUNALINI E. INGLE & 2 TEJASWINI PANSE 1&2 Electroncs Engneerng, Yeshwantrao Chavan College of Engneerng, Nagpur, Inda E-mal : mrunalngle@gmal.com, tejaswn.deshmukh@gmal.com

More information

Topology Design using LS-TaSC Version 2 and LS-DYNA

Topology Design using LS-TaSC Version 2 and LS-DYNA Topology Desgn usng LS-TaSC Verson 2 and LS-DYNA Wllem Roux Lvermore Software Technology Corporaton, Lvermore, CA, USA Abstract Ths paper gves an overvew of LS-TaSC verson 2, a topology optmzaton tool

More information

An Iterative Solution Approach to Process Plant Layout using Mixed Integer Optimisation

An Iterative Solution Approach to Process Plant Layout using Mixed Integer Optimisation 17 th European Symposum on Computer Aded Process Engneerng ESCAPE17 V. Plesu and P.S. Agach (Edtors) 2007 Elsever B.V. All rghts reserved. 1 An Iteratve Soluton Approach to Process Plant Layout usng Mxed

More information

Cluster Analysis of Electrical Behavior

Cluster Analysis of Electrical Behavior Journal of Computer and Communcatons, 205, 3, 88-93 Publshed Onlne May 205 n ScRes. http://www.scrp.org/ournal/cc http://dx.do.org/0.4236/cc.205.350 Cluster Analyss of Electrcal Behavor Ln Lu Ln Lu, School

More information

Routing in Degree-constrained FSO Mesh Networks

Routing in Degree-constrained FSO Mesh Networks Internatonal Journal of Hybrd Informaton Technology Vol., No., Aprl, 009 Routng n Degree-constraned FSO Mesh Networks Zpng Hu, Pramode Verma, and James Sluss Jr. School of Electrcal & Computer Engneerng

More information

Related-Mode Attacks on CTR Encryption Mode

Related-Mode Attacks on CTR Encryption Mode Internatonal Journal of Network Securty, Vol.4, No.3, PP.282 287, May 2007 282 Related-Mode Attacks on CTR Encrypton Mode Dayn Wang, Dongda Ln, and Wenlng Wu (Correspondng author: Dayn Wang) Key Laboratory

More information

EECS 730 Introduction to Bioinformatics Sequence Alignment. Luke Huan Electrical Engineering and Computer Science

EECS 730 Introduction to Bioinformatics Sequence Alignment. Luke Huan Electrical Engineering and Computer Science EECS 730 Introducton to Bonformatcs Sequence Algnment Luke Huan Electrcal Engneerng and Computer Scence http://people.eecs.ku.edu/~huan/ HMM Π s a set of states Transton Probabltes a kl Pr( l 1 k Probablty

More information

Meta-heuristics for Multidimensional Knapsack Problems

Meta-heuristics for Multidimensional Knapsack Problems 2012 4th Internatonal Conference on Computer Research and Development IPCSIT vol.39 (2012) (2012) IACSIT Press, Sngapore Meta-heurstcs for Multdmensonal Knapsack Problems Zhbao Man + Computer Scence Department,

More information

Edge Detection in Noisy Images Using the Support Vector Machines

Edge Detection in Noisy Images Using the Support Vector Machines Edge Detecton n Nosy Images Usng the Support Vector Machnes Hlaro Gómez-Moreno, Saturnno Maldonado-Bascón, Francsco López-Ferreras Sgnal Theory and Communcatons Department. Unversty of Alcalá Crta. Madrd-Barcelona

More information

Load-Balanced Anycast Routing

Load-Balanced Anycast Routing Load-Balanced Anycast Routng Chng-Yu Ln, Jung-Hua Lo, and Sy-Yen Kuo Department of Electrcal Engneerng atonal Tawan Unversty, Tape, Tawan sykuo@cc.ee.ntu.edu.tw Abstract For fault-tolerance and load-balance

More information

CACHE MEMORY DESIGN FOR INTERNET PROCESSORS

CACHE MEMORY DESIGN FOR INTERNET PROCESSORS CACHE MEMORY DESIGN FOR INTERNET PROCESSORS WE EVALUATE A SERIES OF THREE PROGRESSIVELY MORE AGGRESSIVE ROUTING-TABLE CACHE DESIGNS AND DEMONSTRATE THAT THE INCORPORATION OF HARDWARE CACHES INTO INTERNET

More information

An Efficient Genetic Algorithm with Fuzzy c-means Clustering for Traveling Salesman Problem

An Efficient Genetic Algorithm with Fuzzy c-means Clustering for Traveling Salesman Problem An Effcent Genetc Algorthm wth Fuzzy c-means Clusterng for Travelng Salesman Problem Jong-Won Yoon and Sung-Bae Cho Dept. of Computer Scence Yonse Unversty Seoul, Korea jwyoon@sclab.yonse.ac.r, sbcho@cs.yonse.ac.r

More information

Research of Dynamic Access to Cloud Database Based on Improved Pheromone Algorithm

Research of Dynamic Access to Cloud Database Based on Improved Pheromone Algorithm , pp.197-202 http://dx.do.org/10.14257/dta.2016.9.5.20 Research of Dynamc Access to Cloud Database Based on Improved Pheromone Algorthm Yongqang L 1 and Jn Pan 2 1 (Software Technology Vocatonal College,

More information

Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution

Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution Dynamc Voltage Scalng of Supply and Body Bas Explotng Software Runtme Dstrbuton Sungpack Hong EE Department Stanford Unversty Sungjoo Yoo, Byeong Bn, Kyu-Myung Cho, Soo-Kwan Eo Samsung Electroncs Taehwan

More information

S1 Note. Basis functions.

S1 Note. Basis functions. S1 Note. Bass functons. Contents Types of bass functons...1 The Fourer bass...2 B-splne bass...3 Power and type I error rates wth dfferent numbers of bass functons...4 Table S1. Smulaton results of type

More information

Available online at Available online at Advanced in Control Engineering and Information Science

Available online at   Available online at   Advanced in Control Engineering and Information Science Avalable onlne at wwwscencedrectcom Avalable onlne at wwwscencedrectcom Proceda Proceda Engneerng Engneerng 00 (2011) 15000 000 (2011) 1642 1646 Proceda Engneerng wwwelsevercom/locate/proceda Advanced

More information

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS ARPN Journal of Engneerng and Appled Scences 006-017 Asan Research Publshng Network (ARPN). All rghts reserved. NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS Igor Grgoryev, Svetlana

More information

3D vector computer graphics

3D vector computer graphics 3D vector computer graphcs Paolo Varagnolo: freelance engneer Padova Aprl 2016 Prvate Practce ----------------------------------- 1. Introducton Vector 3D model representaton n computer graphcs requres

More information

Parallel Inverse Halftoning by Look-Up Table (LUT) Partitioning

Parallel Inverse Halftoning by Look-Up Table (LUT) Partitioning Parallel Inverse Halftonng by Look-Up Table (LUT) Parttonng Umar F. Sddq and Sadq M. Sat umar@ccse.kfupm.edu.sa, sadq@kfupm.edu.sa KFUPM Box: Department of Computer Engneerng, Kng Fahd Unversty of Petroleum

More information

Constructing Minimum Connected Dominating Set: Algorithmic approach

Constructing Minimum Connected Dominating Set: Algorithmic approach Constructng Mnmum Connected Domnatng Set: Algorthmc approach G.N. Puroht and Usha Sharma Centre for Mathematcal Scences, Banasthal Unversty, Rajasthan 304022 usha.sharma94@yahoo.com Abstract: Connected

More information

Problem Set 3 Solutions

Problem Set 3 Solutions Introducton to Algorthms October 4, 2002 Massachusetts Insttute of Technology 6046J/18410J Professors Erk Demane and Shaf Goldwasser Handout 14 Problem Set 3 Solutons (Exercses were not to be turned n,

More information

SLAM Summer School 2006 Practical 2: SLAM using Monocular Vision

SLAM Summer School 2006 Practical 2: SLAM using Monocular Vision SLAM Summer School 2006 Practcal 2: SLAM usng Monocular Vson Javer Cvera, Unversty of Zaragoza Andrew J. Davson, Imperal College London J.M.M Montel, Unversty of Zaragoza. josemar@unzar.es, jcvera@unzar.es,

More information

Outline. Type of Machine Learning. Examples of Application. Unsupervised Learning

Outline. Type of Machine Learning. Examples of Application. Unsupervised Learning Outlne Artfcal Intellgence and ts applcatons Lecture 8 Unsupervsed Learnng Professor Danel Yeung danyeung@eee.org Dr. Patrck Chan patrckchan@eee.org South Chna Unversty of Technology, Chna Introducton

More information

Lecture 5: Multilayer Perceptrons

Lecture 5: Multilayer Perceptrons Lecture 5: Multlayer Perceptrons Roger Grosse 1 Introducton So far, we ve only talked about lnear models: lnear regresson and lnear bnary classfers. We noted that there are functons that can t be represented

More information

Network Coding as a Dynamical System

Network Coding as a Dynamical System Network Codng as a Dynamcal System Narayan B. Mandayam IEEE Dstngushed Lecture (jont work wth Dan Zhang and a Su) Department of Electrcal and Computer Engneerng Rutgers Unversty Outlne. Introducton 2.

More information

Data Representation in Digital Design, a Single Conversion Equation and a Formal Languages Approach

Data Representation in Digital Design, a Single Conversion Equation and a Formal Languages Approach Data Representaton n Dgtal Desgn, a Sngle Converson Equaton and a Formal Languages Approach Hassan Farhat Unversty of Nebraska at Omaha Abstract- In the study of data representaton n dgtal desgn and computer

More information

Sequential search. Building Java Programs Chapter 13. Sequential search. Sequential search

Sequential search. Building Java Programs Chapter 13. Sequential search. Sequential search Sequental search Buldng Java Programs Chapter 13 Searchng and Sortng sequental search: Locates a target value n an array/lst by examnng each element from start to fnsh. How many elements wll t need to

More information

Routability Driven Modification Method of Monotonic Via Assignment for 2-layer Ball Grid Array Packages

Routability Driven Modification Method of Monotonic Via Assignment for 2-layer Ball Grid Array Packages Routablty Drven Modfcaton Method of Monotonc Va Assgnment for 2-layer Ball Grd Array Pacages Yoch Tomoa Atsush Taahash Department of Communcatons and Integrated Systems, Toyo Insttute of Technology 2 12

More information

Feature Reduction and Selection

Feature Reduction and Selection Feature Reducton and Selecton Dr. Shuang LIANG School of Software Engneerng TongJ Unversty Fall, 2012 Today s Topcs Introducton Problems of Dmensonalty Feature Reducton Statstc methods Prncpal Components

More information

Smoothing Spline ANOVA for variable screening

Smoothing Spline ANOVA for variable screening Smoothng Splne ANOVA for varable screenng a useful tool for metamodels tranng and mult-objectve optmzaton L. Rcco, E. Rgon, A. Turco Outlne RSM Introducton Possble couplng Test case MOO MOO wth Game Theory

More information

Analysis of Min Sum Iterative Decoder using Buffer Insertion

Analysis of Min Sum Iterative Decoder using Buffer Insertion Analyss of Mn Sum Iteratve ecoder usng Buffer Inserton Saravanan Swapna M.E II year, ept of ECE SSN College of Engneerng M. Anbuselv Assstant Professor, ept of ECE SSN College of Engneerng S.Salvahanan

More information

Optimal connection strategies in one- and two-dimensional associative memory models

Optimal connection strategies in one- and two-dimensional associative memory models Optmal connecton strateges n one- and two-dmensonal assocatve memory models Lee Calcraft, Rod Adams, and Nel Davey School of Computer Scence, Unversty of Hertfordshre College lane, Hatfeld, Hertfordshre

More information

FPGA Based Fixed Width 4 4, 6 6, 8 8 and Bit Multipliers using Spartan-3AN

FPGA Based Fixed Width 4 4, 6 6, 8 8 and Bit Multipliers using Spartan-3AN IJCSNS Internatonal Journal of Computer Scence and Network Securty, VOL.11 No.2, February 211 61 FPGA Based Fxed Wdth 4 4, 6 6, 8 8 and 12 12-Bt Multplers usng Spartan-3AN Muhammad H. Ras and Mohamed H.

More information

Backpropagation: In Search of Performance Parameters

Backpropagation: In Search of Performance Parameters Bacpropagaton: In Search of Performance Parameters ANIL KUMAR ENUMULAPALLY, LINGGUO BU, and KHOSROW KAIKHAH, Ph.D. Computer Scence Department Texas State Unversty-San Marcos San Marcos, TX-78666 USA ae049@txstate.edu,

More information

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) ,

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) , Fax: (370-5) , VRT012 User s gude V0.1 Thank you for purchasng our product. We hope ths user-frendly devce wll be helpful n realsng your deas and brngng comfort to your lfe. Please take few mnutes to read ths manual

More information

UB at GeoCLEF Department of Geography Abstract

UB at GeoCLEF Department of Geography   Abstract UB at GeoCLEF 2006 Mguel E. Ruz (1), Stuart Shapro (2), June Abbas (1), Slva B. Southwck (1) and Davd Mark (3) State Unversty of New York at Buffalo (1) Department of Lbrary and Informaton Studes (2) Department

More information

Petri Net Based Software Dependability Engineering

Petri Net Based Software Dependability Engineering Proc. RELECTRONIC 95, Budapest, pp. 181-186; October 1995 Petr Net Based Software Dependablty Engneerng Monka Hener Brandenburg Unversty of Technology Cottbus Computer Scence Insttute Postbox 101344 D-03013

More information

Analysis of Collaborative Distributed Admission Control in x Networks

Analysis of Collaborative Distributed Admission Control in x Networks 1 Analyss of Collaboratve Dstrbuted Admsson Control n 82.11x Networks Thnh Nguyen, Member, IEEE, Ken Nguyen, Member, IEEE, Lnha He, Member, IEEE, Abstract Wth the recent surge of wreless home networks,

More information

Crosstalk-Affected Propagation Delay in Nanometer Technologies

Crosstalk-Affected Propagation Delay in Nanometer Technologies Int'l Journal of Electroncs, 2008 ABSTRACT Crosstalk-Affected Propagaton Delay n Nanometer Technologes Shahn Nazaran Massoud Pedram Unversty of Southern Calforna, EE Dept., Los Angeles, CA shahn@usc.edu

More information

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to:

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to: 4.1 4.2 Motvaton EE 457 Unt 4 Computer System Performance An ndvdual user wants to: Mnmze sngle program executon tme A datacenter owner wants to: Maxmze number of Mnmze ( ) http://e-tellgentnternetmarketng.com/webste/frustrated-computer-user-2/

More information

3. CR parameters and Multi-Objective Fitness Function

3. CR parameters and Multi-Objective Fitness Function 3 CR parameters and Mult-objectve Ftness Functon 41 3. CR parameters and Mult-Objectve Ftness Functon 3.1. Introducton Cogntve rados dynamcally confgure the wreless communcaton system, whch takes beneft

More information

u Delay Delay x p Data, u x p1 Encoder 1 Puncturer Interleaver p2 p2 Encoder 2

u Delay Delay x p Data, u x p1 Encoder 1 Puncturer Interleaver p2 p2 Encoder 2 Implementaton of Near Shannon Lmt Error-Correctng Codes Usng Recongurable Hardware Benjamn Levne, R. Reed Taylor, and Herman Schmt Abstract Error correctng codes (ECCs) are wdely used n dgtal communcatons.

More information