Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Size: px
Start display at page:

Download "Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects"

Transcription

1 <Insert Picture Here> This work was supported in part by DARPA under contract HR The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter and should not be interpreted as representing the official views or policies, either expressed or implied, of the Defense Advanced Research Projects Agency or the Department of Defense Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects Ashok V. Krishnamoorthy, Ron Ho, John Cunningham, Xuezhe Fafa Zheng, Jon Lexau Oracle Labs

2 Optical link penetration Data Rate (Gb/s) Gb/s * m Distance (m) MMF SMF Copper Backplanes Coax (1970s) 100Gb/s.m 10Gb/s.m 1000Gb/s.m Krishnamoorthy et al., IEEE JSTQE, Vol. 17 (2),p , March/April 2011 Companies leading the way QDR/FDR/EDR: Tyco, Finisar, Emcore, FCI (Merge), Avago, Molex, Luxtera

3 Better Interconnects, Better Systems Compute density (& functionality) improvements > Want higher performance/area (volume) at manageable thermal density Power improvements > Want higher BW/Watt to achieve breakthrough performance on key benchmarks Productivity (programming) improvements > Want better bisection bandwidth & random memory access for improvements in development & execution time productivity Memory latency improvements > Want reductions in memory-access latency over all-electrical systems

4 Processor I/O scaling Total Off-chip I/O Bandwidth [Tbps] Intel AMD Sun/Oracle IBM Virtex IBM P7 IO Scaling Oracle T Number of pins off package Year of Revenue Release (Aprox.) Data after D. Huang., IEEE HSD Workshop 2011, Santa Fe Consistent I/O bandwidth growth: ~2x every 2 years Need >20Tbps I/O soon Packaging falling behind historically Limited high speed pin count pushes for higher data rates 1000

5 Lots of work trying to solve this problem Integrate vertically with TSVs (build up) Complex packaging and assembly, but it will be here soon Thermal issues limit the architectural use cases (memory) Integrate horizontally with fine pitch IO (build out) Complex package, assembly, and test with MCMs Known-good die issues Source: IBM Source: Samsung

6 An ideal (?) solution Enable systems with chips that build both up and out Aggregate TSV ed chip stacks with a fine pitch IO But without conductive (soldered) attachments, to help yield Needs a chip-to-chip IO with enormous BW and low energy 6

7 Improving link energy efficiency Link Energy Efficiency (pj/bit/m) System Target: <<1 mw per Gigabit/s per meter Krishnamoorthy et al., IEEE JSTQE, Vol. 17 (2),p , March/April 2011 Electrical links today VCSEL links today Si Target 100fJ/bit (Core) 500fJ/bit (Core - L3 cache & MM) 2pJ/bit (Core Distant Memory) Link Distance (m)

8 One possibility: optically-enabled silicon Create enormously dense IO channels over fast optical media Optical channels are an interesting solution Multiple λs in a single waveguide more data BW & WDM routing Better energy/distance, bandwidth/area and bandwidth-distance We need an electrical-to-optical interface Use a bridge chip face-bonded to a CPU or mem controller Optical devices & waveguides on bridge chips can point down Optical proximity communication w/ face-to-face couplers Cunningham et al., IEEE Group IV Photonics, 2008 Krishnamoorthy et al., Proceedings of the IEEE,

9 The macrochip a server-on-a-chip vision Aggregate the hybrid chips onto a substrate with embedded waveguides Silicon lattice carrying CPUs and DRAMs With a very rich waveguide network connecting the sites Bridges perform OE/EO and couple optically to lattice Silicon lattice w/ waveguides Ho et al., IEEE Design and Test, 2010 Krishnamoorthy et al., Proc. IEEE, 2009 CPUs or DRAM stacks Optical bridges 9

10 And the most important part: the link The goal is to hit 0.3 pj/b (on-chip) link energy consumption Commercial electronic links today around pj/b Including laser conversion efficiency, we want to hit <1pJ/bit We have demonstrated Tx+Rx< 0.35 pj/b thus far (2011) Zheng et al., OFC Postdeadline 2011 (JSTQE 2012 accepted) Good start but miles to go before we sleep 10

Moving Forward with the IPI Photonics Roadmap

Moving Forward with the IPI Photonics Roadmap Moving Forward with the IPI Photonics Roadmap TWG Chairs: Rich Grzybowski, Corning (acting) Rick Clayton, Clayton Associates Integration, Packaging & Interconnection: How does the chip get to the outside

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

High Volume Photonics Manufacturing

High Volume Photonics Manufacturing Common Pla)orms Common Interfaces Cost Integra3on Cost INEMI Webcast 2-19- 15 High Volume Photonics Manufacturing Bob Pfahl, Principle Investigator bob.pfahl@inemi.org Lionel Kimerling, Principle Investigator

More information

The interconnect becomes an increasingly critical system component > Fatter compute nodes > Increasing disparity between local and remote

The interconnect becomes an increasingly critical system component > Fatter compute nodes > Increasing disparity between local and remote Multiterabit Switch Fabrics Enabled by Proximity Communication Hans Eberle, Alex Chow, Bill Coates, Jack Cunningham, Robert Drost, Jo Ebergen, Scott Fairbanks, Jon Gainsley, Nils Gura, Ron Ho, David Hopkins,

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

Innovating Beyond Moore s Law. Craig Stephen Vice President, R&D, Oracle Labs

Innovating Beyond Moore s Law. Craig Stephen Vice President, R&D, Oracle Labs Innovating Beyond Moore s Law Craig Stephen Vice President, R&D, Oracle Labs The following is intended to outline our general product direction. It is intended for information purposes only, and may not

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Optoelectronic Multi-Chip Modules Based on Imaging Fiber Bundle Structures

Optoelectronic Multi-Chip Modules Based on Imaging Fiber Bundle Structures Optoelectronic Multi-Chip Modules Based on Imaging Fiber Bundle Structures Donald M. Chiarulli a, Steven P. Levitan b, Matt Robinson c Departments of a Computer Science and b Electrical Engineering, University

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Packaging avancé pour les modules photoniques

Packaging avancé pour les modules photoniques I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Packaging avancé pour les modules photoniques S. Bernabé, CEA-Leti Marc Epitaux, SAMTEC Workshop «Photonique sur Silicium, une rupture attendue»

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

Photonics in computing: use more than a link for getting more than Moore

Photonics in computing: use more than a link for getting more than Moore Photonics in computing: use more than a link for getting more than Moore Nikos Pleros Photonics Systems and Networks (PhosNET) research group Dept. of Informatics, Aristotle Univ. of Thessaloniki, Center

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Is it still in hype or on its way to the field? OFC 2015 Workshop 22 March 2015 Chris Cole Mainstream Datacenter

More information

Trends in High Density Optical Interconnects for HPC Applications. US Conec Ltd.

Trends in High Density Optical Interconnects for HPC Applications. US Conec Ltd. Trends in High Density Optical Interconnects for HPC Applications US Conec Ltd. April 20, 2010 MIT CTR April, 2010. Company Overview US Conec develops, manufactures, and markets high density multi-fiber

More information

Photonics in the Package for Extreme Scalability (PIPES)

Photonics in the Package for Extreme Scalability (PIPES) Photonics in the Package for Extreme Scalability (PIPES) Gordon Keeler, PM, DARPA/MTO Proposers Day November 1, 2018 DISTRIBUTION STATEMENT A. Approved for public release. Distribution is unlimited. 12

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices May 2018 Integrated Optical Devices 2017 a good year for Silicon Photonics, a fantastic year for integrated InP and GaAs optics Source: Luxtera with text added by LightCounting

More information

100G and Beyond: high-density Ethernet interconnects

100G and Beyond: high-density Ethernet interconnects 100G and Beyond: high-density Ethernet interconnects Kapil Shrikhande Sr. Principal Engineer, CTO Office Force10 Networks MIT MicroPhotonics Center Spring Meeting April 5, 2011 [ 1 ] Ethernet applications

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Race to Exascale: Opportunities and Challenges. Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation

Race to Exascale: Opportunities and Challenges. Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation Race to Exascale: Opportunities and Challenges Avinash Sodani, Ph.D. Chief Architect MIC Processor Intel Corporation Exascale Goal: 1-ExaFlops (10 18 ) within 20 MW by 2018 1 ZFlops 100 EFlops 10 EFlops

More information

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader

NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader The optoelectronics industry is moving at a rapid pace and new ideas and processes occur almost every week. The printed

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

Intel Silicon Photonics: from Research to Product

Intel Silicon Photonics: from Research to Product IEEE Components, Packaging and Manufacturing Technology Chapter, Santa Clara Valley 3/8/2017 Intel Silicon Photonics: from Research to Product MARCH 8, 2017 Ling Liao Principal Engineer Silicon Photonics

More information

Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research

Optical PCB Overview. Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY. IBM Research IBM Research Optical PCB Overview Frank Libsch IBM T.J. Watson Research Center Yorktown Heights, NY IBM Internal November 16, 2011 Outline System view of why optics is needed Potential OPCB Technologies

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

Quilt Packaging Microchip Interconnect Technology

Quilt Packaging Microchip Interconnect Technology Quilt Packaging Microchip Interconnect Technology 18 November 2012 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction to IIC Quilt Packaging (QP) Concept Electrical

More information

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group The Foundry-Packaging Partnership Enabling Future Performance Jon A. Casey IBM Fellow IBM Systems and Technology Group 5/30/2013 2012 IBM Corporation Data growth will drive the new IT model Dimensions

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

Silicon Photonics and the Future of Optical Connectivity in the Data Center

Silicon Photonics and the Future of Optical Connectivity in the Data Center Silicon Photonics and the Future of Optical Connectivity in the Data Center ECOC MARKET FOCUS September 19, 2016 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center Traffic

More information

Optical Engine? What s That?

Optical Engine? What s That? JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER Advances in Onboard Optical Interconnects: A New Generation of Miniature Optical Engines Marc Verdiell CTO Samtec Optical Group Samtec, Inc. 01/29/2013

More information

ECE 574 Cluster Computing Lecture 23

ECE 574 Cluster Computing Lecture 23 ECE 574 Cluster Computing Lecture 23 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 1 December 2015 Announcements Project presentations next week There is a final. time. Maybe

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

Exploiting Dark Silicon in Server Design. Nikos Hardavellas Northwestern University, EECS

Exploiting Dark Silicon in Server Design. Nikos Hardavellas Northwestern University, EECS Exploiting Dark Silicon in Server Design Nikos Hardavellas Northwestern University, EECS Moore s Law Is Alive And Well 90nm 90nm transistor (Intel, 2005) Swine Flu A/H1N1 (CDC) 65nm 45nm 32nm 22nm 16nm

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Future of opto-electronics in CMOS-based systems. A. F. J. Levi

Future of opto-electronics in CMOS-based systems. A. F. J. Levi Future of opto-electronics in CMOS-based systems A. F. J. Levi The University of Southern California University Park, DRB 118 Los Angeles, California 90089-1111 http://www.usc.edu/dept/engineering/eleceng/adv_network_tech/

More information

56/80 Gb/s PCB transmission lines. and. 56 Gb/s End-launch GPPO connector

56/80 Gb/s PCB transmission lines. and. 56 Gb/s End-launch GPPO connector White paper: WP141-1 56/8 b/s PCB transmission lines and 56 b/s End-launch PPO connector ----- Electrical Interconnection basic technology development ----- Takada RF Labs, Inc. 214/1/2 E-mail: contact@takadarf.com

More information

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 COSCOⅣ Heterogeneous SoCs M5171111 HASEGAWA TORU M5171112 IDONUMA TOSHIICHI May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 Contents Background Heterogeneous technology May 28, 2014 COMPUTER SYSTEM COLLOQUIUM

More information

Photonics in the Package for Extreme Scalability (PIPES) Frequently Asked Questions (FAQ) Document Updated 12/21/2018

Photonics in the Package for Extreme Scalability (PIPES) Frequently Asked Questions (FAQ) Document Updated 12/21/2018 Photonics in the Package for Extreme Scalability (PIPES) Frequently Asked Questions (FAQ) Document Updated 12/21/2018 Q1: The BAA mentions optical fiber a number of times. Is using optical fibers a mandated

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

CDFP MSA Delivers 400 Gb/s Today

CDFP MSA Delivers 400 Gb/s Today CDFP MSA Delivers 400 Gb/s Today The CDFP Form Factor The CDFP module is the first 400 Gb/s form factor and will enable the highest port and bandwidth density of any pluggable form factor. With a 32mm

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information

VISUAL QUALITY ASSESSMENT CHALLENGES FOR ARCHITECTURE DESIGN EXPLORATIONS. Wen-Fu Kao and Durgaprasad Bilagi. Intel Corporation Folsom, CA 95630

VISUAL QUALITY ASSESSMENT CHALLENGES FOR ARCHITECTURE DESIGN EXPLORATIONS. Wen-Fu Kao and Durgaprasad Bilagi. Intel Corporation Folsom, CA 95630 Proceedings of Seventh International Workshop on Video Processing and Quality Metrics for Consumer Electronics January 30-February 1, 2013, Scottsdale, Arizona VISUAL QUALITY ASSESSMENT CHALLENGES FOR

More information

The Road from Peta to ExaFlop

The Road from Peta to ExaFlop The Road from Peta to ExaFlop Andreas Bechtolsheim June 23, 2009 HPC Driving the Computer Business Server Unit Mix (IDC 2008) Enterprise HPC Web 100 75 50 25 0 2003 2008 2013 HPC grew from 13% of units

More information

OIF CEI-56G Project Activity

OIF CEI-56G Project Activity OIF CEI-56G Project Activity Progress and Challenges for Next Generation 400G Electrical Links David R Stauffer Kandou Bus, SA OIF Physical & Link Layer Working Group Chair June 12, 2014 Electrical Implementation

More information

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France Exascale challenges June 27,28 2012 Ecole Polytechnique Palaiseau France patrick.demichel@hp.com HP Labs around the world Beijing Tokyo Palo Alto Bristol St. Petersburg Bangalore 7 locations 600 researchers

More information

Optical Networking for Aerospace System Provisioned through Plug and Play Architecture 12 August 2010

Optical Networking for Aerospace System Provisioned through Plug and Play Architecture 12 August 2010 Optical Networking for Aerospace System Provisioned through Plug and Play Architecture 12 August 2010 Keith Avery, Shane Francis, & James Lyke AFRL Mr. Patrick Collier SAIC Space Vehicles Directorate Air

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects I. Artundo, W. Heirman, C. Debaes, M. Loperena, J. Van Campenhout, H. Thienpont New York, August 27th 2009 Iñigo Artundo,

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

VCSEL Technology and Digital

VCSEL Technology and Digital VCSEL Technology and Digital Applications Marco Ghisoni Zarlink Semiconductor AB marco.ghisoni@zarlink.com Outline Introduction Today's Digital Applications Mass market Parallel optical modules Future

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group

Aim High. Intel Technical Update Teratec 07 Symposium. June 20, Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Aim High Intel Technical Update Teratec 07 Symposium June 20, 2007 Stephen R. Wheat, Ph.D. Director, HPC Digital Enterprise Group Risk Factors Today s s presentations contain forward-looking statements.

More information

HPC Technology Trends

HPC Technology Trends HPC Technology Trends High Performance Embedded Computing Conference September 18, 2007 David S Scott, Ph.D. Petascale Product Line Architect Digital Enterprise Group Risk Factors Today s s presentations

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Dr. Henning Schröder, Fraunhofer IZM Dr. Henning Schröder Fraunhofer IZM, Berlin fon: ++49 30 46403-277, fax:

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices January 2017 Month 2015 Integrated Optical Devices Is Silicon Photonics a Disruptive Technology? Source: Luxtera with text added by LightCounting 7 Table of Contents Table of

More information

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS SRISHTI PHOTONICS RESEARCH GROUP INDIAN INSTITUTE OF TECHNOLOGY, DELHI 1 IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS Authors: Janib ul Bashir and Smruti R. Sarangi Indian Institute

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information