Any modern computer system will incorporate (at least) two levels of storage:

Size: px
Start display at page:

Download "Any modern computer system will incorporate (at least) two levels of storage:"

Transcription

1 1 Any moden compute system will incopoate (at least) two levels of stoage: pimay stoage: andom access memoy (RAM) typical capacity 32MB to 1GB cost pe MB $3. typical access time 5ns to 6ns bust tansfe ate?? seconday stoage: magnetic disk/optical devices/tape systems typical capacity GB to 1GB fo fixed media; fo emovable cost pe MB $.1 fo fixed media, moe fo emovable typical access time ms to 12ms fo fixed media, lage fo emovable bust tansfe ate?? Note: all statistics hee ae guaanteed invalid by July 1, 2. Units of Measuement Spatial units: 2 byte (B) kilobyte (KB) megabyte (MB) gigabyte (GB) Time units: nanosecond (ns) micosecond (µs) millisecond (ms) bits 12 o 2 1 bytes 12 kilobytes o 2 2 bytes* 12 megabytes o 2 3 bytes one-billionth (1-9 ) of a second one-millionth (1-6 ) of a second one-thousandth (1-3 ) of a second * Most, if not all, seconday stoage vendos lie about this and call 1,, bytes a megabyte and 1,,, bytes a gigabyte. We will ignoe the issue.

2 Pimay vesus 3 While the paticula values given ealie ae volatile, the elative pefomances suggested ae actually quite stable: Pimay stoage: costs seveal hunded times as much pe unit as seconday stoage. has access times that ae 25, to 1,, times faste than seconday stoage has tansfe ates that ae?? times faste than seconday stoage Why do WE cae (in a data stuctues class)? Often data must be fist ead fom disk into memoy fo pocessing, and then esults must be witten back to disk afte pocessing. In many cases, data sets ae too lage to stoe in memoy at once. File Stoage In many file systems, space is allocated in fixed-size chunks called clustes. Typical cluste sizes ange fom 1KB up to 32KB, usually equaling a powe of 2. When a file is stoed on disk, an intege numbe of clustes ae allocated fo the file; since files sizes ae typically not a multiple of the cluste size, this means that a cetain amount of space is wasted to intenal fagmentation. On aveage, ½ of a cluste is wasted pe file stoed. Obviously that adds up but that s not eally ou concen in this couse. The clustes ae also not usually stoed contiguously on the disk. This extenal fagmentation can cause a seious degadation of pefomance when a file is being ead fom o witten to disk. cluste

3 Had Disk Pefomance Factos To illustate the issues, we will conside the physical oganization and behavio of a typical had disk design. Note that the pesentation hee is an ove-simplification and that contempoay had dive designs incopoate contol sophistication not discussed hee. Despite advances, the basic pefomance issues emain the same. 5 We will conside thee pimay factos that affect the time equied to ead equested data fom the disk into memoy: seek time latency tansfe time time fo the appopiate I/O head to each the desied tack time fo the appopiate secto to otate to the I/O head time fo the data to be ead to move past the I/O head Fist we must have a clea pictue of the hadwae Disk Contolles All disk systems incopoate an on-boad contolle which acts as an inteface between the CPU and the disk hadwae. The contolle masks the intenal physical oganization of the disk (coveed in the next few slides) fom the CPU and use pocesses. The contolle has an intenal cache (typically 256KB up to seveal MB) that it uses to buffe data fo ead/wite equests. 6 Disk Contolle Physical Disk Contolle Cache Slots

4 Plattes and I/O Heads A typical had dive contains a numbe of cicula plattes, attached to a otating spindle. Each platte holds data on one o both of its sufaces. The data is ead and witten by I/O heads, typically one pe data suface. These I/O heads ae mounted in a ack and all move in and out in unison. Typically, only one I/O head can be active (eading o witing data) at any given time. ack platte spindle head Tacks and Sectos Each data suface is oganized into concentic ings of data, called tacks. Each tack is divided into a numbe of segments, called sectos. We will assume that each secto contains the same amount of data, egadless of which tack it belongs to. (That is actually tue fo some olde disks.) tack secto The basic unit of disk I/O is the secto. We will see shotly that eading an entie secto fom disk takes only slightly longe than eading a single byte. inte-secto gap

5 Reading a Secto When a disk ead is equested the following actions must be caied out: 9 1. Detemine what secto(s) must be ead the disk contolle is esponsible fo this. 3. Wait fo the beginning of the fist secto to otate to the head. 2. Move the ead head to the tack containing the tageted secto(s).. Read the data as it otates beneath the head. Seek Time seek time the time equied to move the head to the appopiate tack 1 The seek time depends only on the speed with which the head ack moves, and the numbe of tacks that the head must move acoss to each its taget. Given the following (which ae constant fo a paticula disk): H s = the time fo the I/O head to stat moving H T = the time fo the I/O head to move fom one tack to the next then the time fo the head to move n tacks is: Seek( n ) = H s + H T n QTP: On aveage, the head will move 1/3 of the way acoss the platte. Why?

6 Rotational Latency Time latency the time equied fo the appopiate secto to otate to the position of the I/O head The otational latency time depends only on the speed at which the spindle otates, and the angle though which the tack must otate to each the I/O head. Given the following: R = the otational speed of the spindle (in otations pe second) θ = the numbe of adians though which the tack must otate then the otational latency is: Latency θ 1 2π R ( θ) = 11 On aveage, the latency time will be the time equied fo the platte to complete 1/2 of a full otation. Data Tansfe Time tansfe time the time equied fo the appopiate secto(s) to otate unde the I/O head (fo contiguous sectos on the same tack) 12 The tansfe time depends only on the speed at which the spindle otates, and the numbe of sectos that must be ead. Given: S T = the total numbe of sectos pe tack the tansfe time fo n contiguous sectos on the same tack is: Tansfe n ST 1 R ( n) = Fo sectos on diffeent tacks, each tack must be analyzed sepaately, allowing fo seek and latency.

7 Total Read/Wite Time The total time to ead/wite data is fom/to disk is then the sum of the seek time, the otational latency time, and the tansfe time. This ignoes the time fo contolle logic, delays due to multitasking queues, etc.; that is fai because those times ae nomally odes of magnitude less that the times consideed. One note: we have assumed in the analysis of the data tansfe time that the I/O heads ae capable of eading/witing data as fast as the secto moves beneath the head. On olde disk systems that was often not the case, and inteleaving was necessay to optimize ead/wite pefomance. On most contempoay disk systems, the I/O heads ae fast enough that such ticks ae unnecessay. Example: Contiguous File Read Assume a disk system with the following paametes: 1 Total capacity: 65 MB # of plattes: 15 (3 data sufaces) # of tacks pe platte: 56 # of sectos pe tack: 16 cluste size: KB spindle speed: 36 RPM head stat time: 3 ms head tack tavesal time:. ms Question:how much time would be equied, on aveage, to ead a file of size 1 KB, assuming the file is stoed in contiguous sectos on adjacent tacks (since one tack won t hold the whole file in this case)?

8 Example: Contiguous File Read We must compute some values fom the given disk paametes: 15 otations pe second: 6 capacity of one platte: 5 MB capacity of one tack: KB capacity of one secto: 512 bytes sectos pe cluste: 16 clustes pe tack: 1 So a 1 KB file would occupy 1 clustes, o one full tack plus clustes on an adjacent tack. The last cluste is only patially filled, but we will ignoe that in ou calculations. Fo eading the fist (full) tack, assuming aveage values: - seek time = 3 + (56/3)*. = 1.36 ms - latency time = (1/2)*(1/6) =.33 ms total: 3.36 ms - tansfe time = (16/16)*(1/6) = 16.6 ms Example: Contiguous File Read 16 Fo eading the elevant sectos fom the second tack, assuming aveage values: - seek time = 3 + (1)*. = 3.36 ms - latency time = (1/2)*(1/6) =.33 ms total: 2. ms - tansfe time = (12/16)*(1/6) =.33 ms So the total time to ead the file into memoy* would be about 6.1 ms. * Actually this is the time to ead the file into the disk buffe memoy.

9 Example: Fagmented File Read What if the file is scatteed all ove the disk? Then it will take a lot longe fo each cluste: - seek time = 3 + (56/3)*. = 1.36 ms - latency time = (1/2)*(1/6) =.33 ms - tansfe time = (16/16)*(1/6) = 1.6 ms total: 2.36 ms Since the file occupies (pat o all of) 1 clustes, the total ead time fo a completely fagmented copy of the file would be about 51. ms. Secto Read vs Single Byte Read 1 The pogams you have implemented simply pefom ead/wite actions, usually on single vaiables which may stoe fom 1 to a few hunded bytes of data. Why do typical disk contolles pefom ead/wite opeations in secto-sized chunks? Pefomance given the disk system descibed ealie: time to ead one full tack: 3.36 ms (slide 15) time to ead one full secto: 26.9 ms 1.36 ms +.33 ms + (1/16)*(1/6) time to ead one byte: ms 1.36 ms +.33 ms + (1/512)*(1/16)*(1/6) The time to ead one secto is only slightly moe than the time to ead a single byte, lagely because the seek and latency times dominate the tansfe time.

10 Locality of Refeence 19 In view of the pevious slide, it makes sense to design pogams so that data is ead fom and witten to disk in elatively lage chunks but thee is moe. Spatial Locality of Refeence In many cases, if a pogam accesses one pat of a file, thee is a high pobability that the pogam will access neaby pats of the file in the nea futue. Tempoal Locality of Refeence Moal: gab a lage chunk than you immediately need. In many cases, if a pogam accesses one pat of a file, thee is a high pobability that the pogam will access the same pat of the file again in the nea futue. Moal: once you ve gabbed a chunk, keep it aound. Buffe Pools 2 buffe pool a seies of buffes (memoy locations) used by a pogam to cache disk data A pogam that does much disk I/O can often impove its pefomance by employing a buffe pool to take advantage of locality of efeence. Basically, the buffe pool is just a collection of data chunks. The pogam eads and wites data in buffe-sized chunks, stoing newly-ead data chunks into the pool, eplacing cuently stoed chunks as necessay. Executing pocess disk ead equest seved disk data Buffe Pool data1 data3 data5 Disk Contolle

11 Replacement Stategies The buffe pool must be oganized physically and logically. The physical oganization is geneally an odeed list of some sot. The logical oganization depends upon how the buffe pool deals with the issue of eplacement if a new data chunk must be added to the pool and all the buffes ae cuently full, one of the cuent elements must be eplaced. If the eplaced element has been modified, it (usually) must be witten back to disk o the changes will be lost. 21 Common buffe eplacement stategies: FIFO (fist-in is fist-out) oganize buffes as a queue LFU (least fequently used) eplace the least-accessed buffe LRU (least ecently used) eplace the longest-idle buffe FIFO Replacement Logically the buffe pool is teated as a queue: 22 Requested "chunks":... a a Takes no notice of the access patten exhibited by the pogam. Conside what would happen with the sequence:

12 LFU Replacement Fo LFU we must maintain an access count fo each element of the buffe pool. It is also useful to keep the elements soted by that count. 23 Requested "chunks":...,1,1,1,1,1,2,2,2,2,1,1,2,2,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1 a Aside fom cost of stoing and maintaining counte values, and seaching fo least value, conside the sequence: (5 times) 1 (5 times) LRU Replacement With LRU, we may use a simple list stuctue. On an access, we move the tageted element to the font of the list. That puts the least ecently used element at the tail of the list. 2 Requested "chunks":... a Conside what would happen with the sequence:

13 Compaison In geneal, none of these eplacement stategies is best in all cases. All ae used with some fequency. Intuitively, LRU and LFU make moe sense than FIFO. The pefomance you get is detemined by the access patten exhibited by the unning pogam, and that is often impossible to pedict. 25 Optimal buffe eplacement stategy: eplace the buffe element whose next access lies futhest in the futue. Buffe Pool Design 26 Thee ae some geneal popeties a good buffe pool will have: - the buffe size and numbe of buffes should be client-configuable - the buffe pool should deal only in "aw bytes"; i.e., it should not know anything at all about the intenals of the data ecod fomat used by the client code (This may be elaxed in some cases, but it is geneally a good policy, especially if the buffeed file is binay.) - if ecods ae fixed-length then each buffe should hold an intege numbe of ecods; fo vaiable-length ecods, things ae moe complex and it is often necessay fo buffes to include some intenal fagmentation Optimal buffe eplacement stategy: eplace the buffe element whose next access lies futhest in the futue.

14 Buffe Pool Inteface 2 Hee is a sample buffe pool inteface fo a binay file: class FIFOBuffePool { pivate: int buffesize; int numbuffes; int maxbuffes; int Font; int Rea; Buffe** Buff; bool* Dity; fsteam* db; void Inset(Buffe toadd); int isbuffeed(int fileoffset) const; void witeback(int buffeidx); public: FIFOBuffePool(); FIFOBuffePool(int Size, int Buffes, fsteam* In); FIFOBuffePool(const FIFOBuffePool& Souce); FIFOBuffePool opeato=(const FIFOBuffePool& Souce); }; cha* Get(int fileoffset); void Put(cha* toput, int fileoffset, int Size); void flushtodisk(); ~FIFOBuffePool(); Buffe Element Inteface And hee is a sample buffe element fo a binay file: class Buffe { public: int Offset; int buffesize; cha* B; Buffe(); Buffe(int Size, int Off); Buffe(const Buffe& Souce); Buffe opeato=(const Buffe& Souce); ~Buffe(); }; 2 The buffe pool uses a FIFO list to stoe a collection of dynamically-allocated buffe elements. Data is stoed using dynamically-allocated cha aays since that allows handling a collection of unintepeted bytes. See the notes on binay file I/O fo details.

secondary storage: Secondary Stg Any modern computer system will incorporate (at least) two levels of storage:

secondary storage: Secondary Stg Any modern computer system will incorporate (at least) two levels of storage: Secondary Storage 1 Any modern computer system will incorporate (at least) two levels of storage: primary storage: random access memory (RAM) typical capacity 256MB to 4GB cost per MB $0.10 typical access

More information

Lecture 8 Introduction to Pipelines Adapated from slides by David Patterson

Lecture 8 Introduction to Pipelines Adapated from slides by David Patterson Lectue 8 Intoduction to Pipelines Adapated fom slides by David Patteson http://www-inst.eecs.bekeley.edu/~cs61c/ * 1 Review (1/3) Datapath is the hadwae that pefoms opeations necessay to execute pogams.

More information

Multidimensional Testing

Multidimensional Testing Multidimensional Testing QA appoach fo Stoage netwoking Yohay Lasi Visuality Systems 1 Intoduction Who I am Yohay Lasi, QA Manage at Visuality Systems Visuality Systems the leading commecial povide of

More information

A Memory Efficient Array Architecture for Real-Time Motion Estimation

A Memory Efficient Array Architecture for Real-Time Motion Estimation A Memoy Efficient Aay Achitectue fo Real-Time Motion Estimation Vasily G. Moshnyaga and Keikichi Tamau Depatment of Electonics & Communication, Kyoto Univesity Sakyo-ku, Yoshida-Honmachi, Kyoto 66-1, JAPAN

More information

DYNAMIC STORAGE ALLOCATION. Hanan Samet

DYNAMIC STORAGE ALLOCATION. Hanan Samet ds0 DYNAMIC STORAGE ALLOCATION Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 07 e-mail: hjs@umiacs.umd.edu

More information

DYNAMIC STORAGE ALLOCATION. Hanan Samet

DYNAMIC STORAGE ALLOCATION. Hanan Samet ds0 DYNAMIC STORAGE ALLOCATION Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 074 e-mail: hjs@umiacs.umd.edu

More information

Lecture Topics ECE 341. Lecture # 12. Control Signals. Control Signals for Datapath. Basic Processing Unit. Pipelining

Lecture Topics ECE 341. Lecture # 12. Control Signals. Control Signals for Datapath. Basic Processing Unit. Pipelining EE 341 Lectue # 12 Instucto: Zeshan hishti zeshan@ece.pdx.edu Novembe 10, 2014 Potland State Univesity asic Pocessing Unit ontol Signals Hadwied ontol Datapath contol signals Dealing with memoy delay Pipelining

More information

MapReduce Optimizations and Algorithms 2015 Professor Sasu Tarkoma

MapReduce Optimizations and Algorithms 2015 Professor Sasu Tarkoma apreduce Optimizations and Algoithms 2015 Pofesso Sasu Takoma www.cs.helsinki.fi Optimizations Reduce tasks cannot stat befoe the whole map phase is complete Thus single slow machine can slow down the

More information

UCB CS61C : Machine Structures

UCB CS61C : Machine Structures inst.eecs.bekeley.edu/~cs61c UCB CS61C : Machine Stuctues Lectue SOE Dan Gacia Lectue 28 CPU Design : Pipelining to Impove Pefomance 2010-04-05 Stanfod Reseaches have invented a monitoing technique called

More information

Image Enhancement in the Spatial Domain. Spatial Domain

Image Enhancement in the Spatial Domain. Spatial Domain 8-- Spatial Domain Image Enhancement in the Spatial Domain What is spatial domain The space whee all pixels fom an image In spatial domain we can epesent an image by f( whee x and y ae coodinates along

More information

Modeling a shared medium access node with QoS distinction

Modeling a shared medium access node with QoS distinction Modeling a shaed medium access node with QoS distinction Matthias Gies, Jonas Geutet Compute Engineeing and Netwoks Laboatoy (TIK) Swiss Fedeal Institute of Technology Züich CH-8092 Züich, Switzeland email:

More information

Journal of World s Electrical Engineering and Technology J. World. Elect. Eng. Tech. 1(1): 12-16, 2012

Journal of World s Electrical Engineering and Technology J. World. Elect. Eng. Tech. 1(1): 12-16, 2012 2011, Scienceline Publication www.science-line.com Jounal of Wold s Electical Engineeing and Technology J. Wold. Elect. Eng. Tech. 1(1): 12-16, 2012 JWEET An Efficient Algoithm fo Lip Segmentation in Colo

More information

Lecture #22 Pipelining II, Cache I

Lecture #22 Pipelining II, Cache I inst.eecs.bekeley.edu/~cs61c CS61C : Machine Stuctues Lectue #22 Pipelining II, Cache I Wiewold cicuits 2008-7-29 http://www.maa.og/editoial/mathgames/mathgames_05_24_04.html http://www.quinapalus.com/wi-index.html

More information

Introduction To Pipelining. Chapter Pipelining1 1

Introduction To Pipelining. Chapter Pipelining1 1 Intoduction To Pipelining Chapte 6.1 - Pipelining1 1 Mooe s Law Mooe s Law says that the numbe of pocessos on a chip doubles about evey 18 months. Given the data on the following two slides, is this tue?

More information

Computer Science 141 Computing Hardware

Computer Science 141 Computing Hardware Compute Science 141 Computing Hadwae Fall 2006 Havad Univesity Instucto: Pof. David Books dbooks@eecs.havad.edu [MIPS Pipeline Slides adapted fom Dave Patteson s UCB CS152 slides and May Jane Iwin s CSE331/431

More information

Exploring non-typical memcache architectures for decreased latency and distributed network usage.

Exploring non-typical memcache architectures for decreased latency and distributed network usage. Syacuse Univesity SURFACE Electical Engineeing and Compute Science Technical Repots College of Engineeing and Compute Science 9-5-20 Exploing non-typical memcache achitectues fo deceased latency and distibuted

More information

COSC 6385 Computer Architecture. - Pipelining

COSC 6385 Computer Architecture. - Pipelining COSC 6385 Compute Achitectue - Pipelining Sping 2012 Some of the slides ae based on a lectue by David Culle, Pipelining Pipelining is an implementation technique wheeby multiple instuctions ae ovelapped

More information

Illumination methods for optical wear detection

Illumination methods for optical wear detection Illumination methods fo optical wea detection 1 J. Zhang, 2 P.P.L.Regtien 1 VIMEC Applied Vision Technology, Coy 43, 5653 LC Eindhoven, The Nethelands Email: jianbo.zhang@gmail.com 2 Faculty Electical

More information

Accelerating Storage with RDMA Max Gurtovoy Mellanox Technologies

Accelerating Storage with RDMA Max Gurtovoy Mellanox Technologies Acceleating Stoage with RDMA Max Gutovoy Mellanox Technologies 2018 Stoage Develope Confeence EMEA. Mellanox Technologies. All Rights Reseved. 1 What is RDMA? Remote Diect Memoy Access - povides the ability

More information

User Visible Registers. CPU Structure and Function Ch 11. General CPU Organization (4) Control and Status Registers (5) Register Organisation (4)

User Visible Registers. CPU Structure and Function Ch 11. General CPU Organization (4) Control and Status Registers (5) Register Organisation (4) PU Stuctue and Function h Geneal Oganisation Registes Instuction ycle Pipelining anch Pediction Inteupts Use Visible Registes Vaies fom one achitectue to anothe Geneal pupose egiste (GPR) ata, addess,

More information

ADDING REALISM TO SOURCE CHARACTERIZATION USING A GENETIC ALGORITHM

ADDING REALISM TO SOURCE CHARACTERIZATION USING A GENETIC ALGORITHM ADDING REALISM TO SOURCE CHARACTERIZATION USING A GENETIC ALGORITHM Luna M. Rodiguez*, Sue Ellen Haupt, and Geoge S. Young Depatment of Meteoology and Applied Reseach Laboatoy The Pennsylvania State Univesity,

More information

Scaling Location-based Services with Dynamically Composed Location Index

Scaling Location-based Services with Dynamically Composed Location Index Scaling Location-based Sevices with Dynamically Composed Location Index Bhuvan Bamba, Sangeetha Seshadi and Ling Liu Distibuted Data Intensive Systems Laboatoy (DiSL) College of Computing, Geogia Institute

More information

Detection and Recognition of Alert Traffic Signs

Detection and Recognition of Alert Traffic Signs Detection and Recognition of Alet Taffic Signs Chia-Hsiung Chen, Macus Chen, and Tianshi Gao 1 Stanfod Univesity Stanfod, CA 9305 {echchen, macuscc, tianshig}@stanfod.edu Abstact Taffic signs povide dives

More information

CENG 3420 Computer Organization and Design. Lecture 07: MIPS Processor - II. Bei Yu

CENG 3420 Computer Organization and Design. Lecture 07: MIPS Processor - II. Bei Yu CENG 3420 Compute Oganization and Design Lectue 07: MIPS Pocesso - II Bei Yu CEG3420 L07.1 Sping 2016 Review: Instuction Citical Paths q Calculate cycle time assuming negligible delays (fo muxes, contol

More information

dc - Linux Command Dc may be invoked with the following command-line options: -V --version Print out the version of dc

dc - Linux Command Dc may be invoked with the following command-line options: -V --version Print out the version of dc - CentOS 5.2 - Linux Uses Guide - Linux Command SYNOPSIS [-V] [--vesion] [-h] [--help] [-e sciptexpession] [--expession=sciptexpession] [-f sciptfile] [--file=sciptfile] [file...] DESCRIPTION is a evese-polish

More information

Slotted Random Access Protocol with Dynamic Transmission Probability Control in CDMA System

Slotted Random Access Protocol with Dynamic Transmission Probability Control in CDMA System Slotted Random Access Potocol with Dynamic Tansmission Pobability Contol in CDMA System Intaek Lim 1 1 Depatment of Embedded Softwae, Busan Univesity of Foeign Studies, itlim@bufs.ac.k Abstact In packet

More information

CS 2461: Computer Architecture 1 Program performance and High Performance Processors

CS 2461: Computer Architecture 1 Program performance and High Performance Processors Couse Objectives: Whee ae we. CS 2461: Pogam pefomance and High Pefomance Pocessos Instucto: Pof. Bhagi Naahai Bits&bytes: Logic devices HW building blocks Pocesso: ISA, datapath Using building blocks

More information

Topic -3 Image Enhancement

Topic -3 Image Enhancement Topic -3 Image Enhancement (Pat 1) DIP: Details Digital Image Pocessing Digital Image Chaacteistics Spatial Spectal Gay-level Histogam DFT DCT Pe-Pocessing Enhancement Restoation Point Pocessing Masking

More information

Monitors. Lecture 6. A Typical Monitor State. wait(c) Signal and Continue. Signal and What Happens Next?

Monitors. Lecture 6. A Typical Monitor State. wait(c) Signal and Continue. Signal and What Happens Next? Monitos Lectue 6 Monitos Summay: Last time A combination of data abstaction and mutual exclusion Automatic mutex Pogammed conditional synchonisation Widely used in concuent pogamming languages and libaies

More information

RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES

RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES Svetlana Avetisyan Mikayel Samvelyan* Matun Kaapetyan Yeevan State Univesity Abstact In this pape, the class

More information

CENG 3420 Lecture 07: Pipeline

CENG 3420 Lecture 07: Pipeline CENG 3420 Lectue 07: Pipeline Bei Yu byu@cse.cuhk.edu.hk CENG3420 L07.1 Sping 2017 Outline q Review: Flip-Flop Contol Signals q Pipeline Motivations q Pipeline Hazads q Exceptions CENG3420 L07.2 Sping

More information

Pipes, connections, channels and multiplexors

Pipes, connections, channels and multiplexors Pipes, connections, channels and multiplexos Fancisco J. Ballesteos ABSTRACT Channels in the style of CSP ae a poeful abstaction. The ae close to pipes and connections used to inteconnect system and netok

More information

Performance Optimization in Structured Wireless Sensor Networks

Performance Optimization in Structured Wireless Sensor Networks 5 The Intenational Aab Jounal of Infomation Technology, Vol. 6, o. 5, ovembe 9 Pefomance Optimization in Stuctued Wieless Senso etwoks Amine Moussa and Hoda Maalouf Compute Science Depatment, ote Dame

More information

COEN-4730 Computer Architecture Lecture 2 Review of Instruction Sets and Pipelines

COEN-4730 Computer Architecture Lecture 2 Review of Instruction Sets and Pipelines 1 COEN-4730 Compute Achitectue Lectue 2 Review of nstuction Sets and Pipelines Cistinel Ababei Dept. of Electical and Compute Engineeing Maquette Univesity Cedits: Slides adapted fom pesentations of Sudeep

More information

a Not yet implemented in current version SPARK: Research Kit Pointer Analysis Parameters Soot Pointer analysis. Objectives

a Not yet implemented in current version SPARK: Research Kit Pointer Analysis Parameters Soot Pointer analysis. Objectives SPARK: Soot Reseach Kit Ondřej Lhoták Objectives Spak is a modula toolkit fo flow-insensitive may points-to analyses fo Java, which enables expeimentation with: vaious paametes of pointe analyses which

More information

IP Network Design by Modified Branch Exchange Method

IP Network Design by Modified Branch Exchange Method Received: June 7, 207 98 IP Netwok Design by Modified Banch Method Kaiat Jaoenat Natchamol Sichumoenattana 2* Faculty of Engineeing at Kamphaeng Saen, Kasetsat Univesity, Thailand 2 Faculty of Management

More information

All lengths in meters. E = = 7800 kg/m 3

All lengths in meters. E = = 7800 kg/m 3 Poblem desciption In this poblem, we apply the component mode synthesis (CMS) technique to a simple beam model. 2 0.02 0.02 All lengths in metes. E = 2.07 10 11 N/m 2 = 7800 kg/m 3 The beam is a fee-fee

More information

EE 6900: Interconnection Networks for HPC Systems Fall 2016

EE 6900: Interconnection Networks for HPC Systems Fall 2016 EE 6900: Inteconnection Netwoks fo HPC Systems Fall 2016 Avinash Kaanth Kodi School of Electical Engineeing and Compute Science Ohio Univesity Athens, OH 45701 Email: kodi@ohio.edu 1 Acknowledgement: Inteconnection

More information

CMCS Mohamed Younis CMCS 611, Advanced Computer Architecture 1

CMCS Mohamed Younis CMCS 611, Advanced Computer Architecture 1 CMCS 611-101 Advanced Compute Achitectue Lectue 6 Intoduction to Pipelining Septembe 23, 2009 www.csee.umbc.edu/~younis/cmsc611/cmsc611.htm Mohamed Younis CMCS 611, Advanced Compute Achitectue 1 Pevious

More information

Locality of Reference

Locality of Reference Locality of Reference 1 In view of the previous discussion of secondary storage, it makes sense to design programs so that data is read from and written to disk in relatively large chunks but there is

More information

Class 21. N -body Techniques, Part 4

Class 21. N -body Techniques, Part 4 Class. N -body Techniques, Pat Tee Codes Efficiency can be inceased by gouping paticles togethe: Neaest paticles exet geatest foces diect summation. Distant paticles exet smallest foces teat in goups.

More information

Reader & ReaderT Monad (11A) Young Won Lim 8/20/18

Reader & ReaderT Monad (11A) Young Won Lim 8/20/18 Copyight (c) 2016-2018 Young W. Lim. Pemission is ganted to copy, distibute and/o modify this document unde the tems of the GNU Fee Documentation License, Vesion 1.2 o any late vesion published by the

More information

Controlled Information Maximization for SOM Knowledge Induced Learning

Controlled Information Maximization for SOM Knowledge Induced Learning 3 Int'l Conf. Atificial Intelligence ICAI'5 Contolled Infomation Maximization fo SOM Knowledge Induced Leaning Ryotao Kamimua IT Education Cente and Gaduate School of Science and Technology, Tokai Univeisity

More information

Realistic Memories and. 2-level Data Cache Interface (0,n)

Realistic Memories and. 2-level Data Cache Interface (0,n) Realistic Meoies and Caches Pat III Li-Shiuan Peh Copute Science & Atificial Intelligence Lab. Massachusetts Institute of Technology Apil 4, 2012 http://csg.csail.it.edu/6.s078 L15-1 2-level Data Cache

More information

The Processor: Improving Performance Data Hazards

The Processor: Improving Performance Data Hazards The Pocesso: Impoving Pefomance Data Hazads Monday 12 Octobe 15 Many slides adapted fom: and Design, Patteson & Hennessy 5th Edition, 2014, MK and fom Pof. May Jane Iwin, PSU Summay Pevious Class Pipeline

More information

Communication vs Distributed Computation: an alternative trade-off curve

Communication vs Distributed Computation: an alternative trade-off curve Communication vs Distibuted Computation: an altenative tade-off cuve Yahya H. Ezzeldin, Mohammed amoose, Chistina Fagouli Univesity of Califonia, Los Angeles, CA 90095, USA, Email: {yahya.ezzeldin, mkamoose,

More information

ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS

ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS Daniel A Menascé Mohamed N Bennani Dept of Compute Science Oacle, Inc Geoge Mason Univesity 1211 SW Fifth

More information

High performance CUDA based CNN image processor

High performance CUDA based CNN image processor High pefomance UDA based NN image pocesso GEORGE VALENTIN STOIA, RADU DOGARU, ELENA RISTINA STOIA Depatment of Applied Electonics and Infomation Engineeing Univesity Politehnica of Buchaest -3, Iuliu Maniu

More information

The Java Virtual Machine. Compiler construction The structure of a frame. JVM stacks. Lecture 2

The Java Virtual Machine. Compiler construction The structure of a frame. JVM stacks. Lecture 2 Compile constuction 2009 Lectue 2 Code geneation 1: Geneating code The Java Vitual Machine Data types Pimitive types, including intege and floating-point types of vaious sizes and the boolean type. The

More information

You Are Here! Review: Hazards. Agenda. Agenda. Review: Load / Branch Delay Slots 7/28/2011

You Are Here! Review: Hazards. Agenda. Agenda. Review: Load / Branch Delay Slots 7/28/2011 CS 61C: Geat Ideas in Compute Achitectue (Machine Stuctues) Instuction Level Paallelism: Multiple Instuction Issue Guest Lectue: Justin Hsia Softwae Paallel Requests Assigned to compute e.g., Seach Katz

More information

GARBAGE COLLECTION METHODS. Hanan Samet

GARBAGE COLLECTION METHODS. Hanan Samet gc0 GARBAGE COLLECTION METHODS Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 07 e-mail: hjs@umiacs.umd.edu

More information

Dynamic Multiple Parity (DMP) Disk Array for Serial Transaction Processing

Dynamic Multiple Parity (DMP) Disk Array for Serial Transaction Processing IEEE TRANSACTIONS ON COMPUTERS, VOL. 50, NO. 9, SEPTEMBER 200 949 Dynamic Multiple Paity (DMP) Disk Aay fo Seial Tansaction Pocessing K.H. Yeung, Membe, IEEE, and T.S. Yum, Senio Membe, IEEE AbstactÐThe

More information

Communication module System Manual Part 9

Communication module System Manual Part 9 Communication module 70.4040 System Manual Pat 9 Contents 1 Intoduction 3 1.1 Peface... 3 1.2 Type designation... 4 2 Indications and contols 5 3 Integated functions 7 3.1 Oveview... 7 3.2 Outputs...

More information

Chapter 4 (Part III) The Processor: Datapath and Control (Pipeline Hazards)

Chapter 4 (Part III) The Processor: Datapath and Control (Pipeline Hazards) Chapte 4 (Pat III) The Pocesso: Datapath and Contol (Pipeline Hazads) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted fom class notes by Pof. M.J. Iwin, PSU and Pof. D. Patteson, UCB 1 吃感冒藥副作用怎麼辦? http://big5.sznews.com/health/images/attachement/jpg/site3/20120319/001558d90b3310d0c1683e.jpg

More information

Towards Adaptive Information Merging Using Selected XML Fragments

Towards Adaptive Information Merging Using Selected XML Fragments Towads Adaptive Infomation Meging Using Selected XML Fagments Ho-Lam Lau and Wilfed Ng Depatment of Compute Science and Engineeing, The Hong Kong Univesity of Science and Technology, Hong Kong {lauhl,

More information

Lecture # 04. Image Enhancement in Spatial Domain

Lecture # 04. Image Enhancement in Spatial Domain Digital Image Pocessing CP-7008 Lectue # 04 Image Enhancement in Spatial Domain Fall 2011 2 domains Spatial Domain : (image plane) Techniques ae based on diect manipulation of pixels in an image Fequency

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hadwae Oganization and Design Lectue 16: Pipelining Adapted fom Compute Oganization and Design, Patteson & Hennessy, UCB Last time: single cycle data path op System clock affects pimaily the Pogam

More information

Configuring RSVP-ATM QoS Interworking

Configuring RSVP-ATM QoS Interworking Configuing RSVP-ATM QoS Intewoking Last Updated: Januay 15, 2013 This chapte descibes the tasks fo configuing the RSVP-ATM QoS Intewoking featue, which povides suppot fo Contolled Load Sevice using RSVP

More information

CS 61C: Great Ideas in Computer Architecture. Pipelining Hazards. Instructor: Senior Lecturer SOE Dan Garcia

CS 61C: Great Ideas in Computer Architecture. Pipelining Hazards. Instructor: Senior Lecturer SOE Dan Garcia CS 61C: Geat Ideas in Compute Achitectue Pipelining Hazads Instucto: Senio Lectue SOE Dan Gacia 1 Geat Idea #4: Paallelism So9wae Paallel Requests Assigned to compute e.g. seach Gacia Paallel Theads Assigned

More information

On the Conversion between Binary Code and Binary-Reflected Gray Code on Boolean Cubes

On the Conversion between Binary Code and Binary-Reflected Gray Code on Boolean Cubes On the Convesion between Binay Code and BinayReflected Gay Code on Boolean Cubes The Havad community has made this aticle openly available. Please shae how this access benefits you. You stoy mattes Citation

More information

THE THETA BLOCKCHAIN

THE THETA BLOCKCHAIN THE THETA BLOCKCHAIN Theta is a decentalized video steaming netwok, poweed by a new blockchain and token. By Theta Labs, Inc. Last Updated: Nov 21, 2017 esion 1.0 1 OUTLINE Motivation Reputation Dependent

More information

Monte Carlo Simulation for the ECAT HRRT using GATE

Monte Carlo Simulation for the ECAT HRRT using GATE Monte Calo Simulation fo the ECAT HRRT using GATE F. Bataille, C. Comtat, Membe, IEEE, S. Jan, and R. Tébossen Abstact The ECAT HRRT (High Resolution Reseach Tomogaph, CPS Innovations, Knoxville, TN, U.S.A.)

More information

Automatically Testing Interacting Software Components

Automatically Testing Interacting Software Components Automatically Testing Inteacting Softwae Components Leonad Gallaghe Infomation Technology Laboatoy National Institute of Standads and Technology Gaithesbug, MD 20899, USA lgallaghe@nist.gov Jeff Offutt

More information

The Dual Round Robin Matching Switch with Exhaustive Service

The Dual Round Robin Matching Switch with Exhaustive Service The Dual Round Robin Matching Switch with Exhaustive Sevice Yihan Li, Shivenda S. Panwa, H. Jonathan Chao Abstact Vitual Output Queuing is widely used by fixed-length highspeed switches to ovecome head-of-line

More information

An Identification Protocol based the secure USB

An Identification Protocol based the secure USB An Identification otocol based the secue UB Chol-Un KIM ok-jun AN and u-nam HAN Faculty of Mathematics Kim Il ung Univesity yongyang..r.k. Abstact In this pape we poposed an identification potocol which

More information

Using SPEC SFS with the SNIA Emerald Program for EPA Energy Star Data Center Storage Program Vernon Miller IBM Nick Principe Dell EMC

Using SPEC SFS with the SNIA Emerald Program for EPA Energy Star Data Center Storage Program Vernon Miller IBM Nick Principe Dell EMC Using SPEC SFS with the SNIA Emeald Pogam fo EPA Enegy Sta Data Cente Stoage Pogam Venon Mille IBM Nick Pincipe Dell EMC v6 Agenda Backgound on SNIA Emeald/Enegy Sta fo block Intoduce NAS/File test addition;

More information

Point-Biserial Correlation Analysis of Fuzzy Attributes

Point-Biserial Correlation Analysis of Fuzzy Attributes Appl Math Inf Sci 6 No S pp 439S-444S (0 Applied Mathematics & Infomation Sciences An Intenational Jounal @ 0 NSP Natual Sciences Publishing o Point-iseial oelation Analysis of Fuzzy Attibutes Hao-En hueh

More information

Optical Flow for Large Motion Using Gradient Technique

Optical Flow for Large Motion Using Gradient Technique SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 3, No. 1, June 2006, 103-113 Optical Flow fo Lage Motion Using Gadient Technique Md. Moshaof Hossain Sake 1, Kamal Bechkoum 2, K.K. Islam 1 Abstact: In this

More information

Cache Memory and Performance

Cache Memory and Performance Cache Memo and Pefomance Code and Caches 1 Man of the following slides ae taken with pemission fom Complete Powepoint Lectue Notes fo Compute Sstems: A Pogamme's Pespective (CS:APP) Randal E. Bant and

More information

GCC-AVR Inline Assembler Cookbook Version 1.2

GCC-AVR Inline Assembler Cookbook Version 1.2 GCC-AVR Inline Assemble Cookbook Vesion 1.2 About this Document The GNU C compile fo Atmel AVR isk pocessos offes, to embed assembly language code into C pogams. This cool featue may be used fo manually

More information

A Recommender System for Online Personalization in the WUM Applications

A Recommender System for Online Personalization in the WUM Applications A Recommende System fo Online Pesonalization in the WUM Applications Mehdad Jalali 1, Nowati Mustapha 2, Ali Mamat 2, Md. Nasi B Sulaiman 2 Abstact foeseeing of use futue movements and intentions based

More information

Information Retrieval. CS630 Representing and Accessing Digital Information. IR Basics. User Task. Basic IR Processes

Information Retrieval. CS630 Representing and Accessing Digital Information. IR Basics. User Task. Basic IR Processes CS630 Repesenting and Accessing Digital Infomation Infomation Retieval: Basics Thosten Joachims Conell Univesity Infomation Retieval Basics Retieval Models Indexing and Pepocessing Data Stuctues ~ 4 lectues

More information

DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata

DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata Chistophe L. Hayes and Yan Luo Depatment of Electical and Compute Engineeing Univesity of Massachusetts Lowell Lowell, MA,

More information

Signal integrity analysis and physically based circuit extraction of a mounted

Signal integrity analysis and physically based circuit extraction of a mounted emc design & softwae Signal integity analysis and physically based cicuit extaction of a mounted SMA connecto A poposed geneal appoach is given fo the definition of an equivalent cicuit with SMAs mounted

More information

RBAC Tutorial. Brad Spengler Open Source Security, Inc. Locaweb

RBAC Tutorial. Brad Spengler Open Source Security, Inc. Locaweb RBAC Tutoial Bad Spengle Open Souce Secuity, Inc. Locaweb - 2012 Oveview Why Access Contol? Goals Achitectue Implementation Lookup example Subject example Questions/Requests Why Access Contol? Access Contol

More information

Persistent Memory what developers need to know Mark Carlson Co-chair SNIA Technical Council Toshiba

Persistent Memory what developers need to know Mark Carlson Co-chair SNIA Technical Council Toshiba Pesistent Memoy what developes need to know Mak Calson Co-chai SNIA Technical Council Toshiba 2018 Stoage Develope Confeence EMEA. All Rights Reseved. 1 Contents Welcome Pesistent Memoy Oveview Non-Volatile

More information

A Novel Automatic White Balance Method For Digital Still Cameras

A Novel Automatic White Balance Method For Digital Still Cameras A Novel Automatic White Balance Method Fo Digital Still Cameas Ching-Chih Weng 1, Home Chen 1,2, and Chiou-Shann Fuh 3 Depatment of Electical Engineeing, 2 3 Gaduate Institute of Communication Engineeing

More information

Cold Drawn Tube. Problem:

Cold Drawn Tube. Problem: Cold Dawn Tube Poblem: An AISI 1 cold-dawn steel tube has an ID of 1.5 in and an OD of 1.75 in. What maximum extenal pessue can this tube take if the lagest pincipal nomal stess is not to exceed 8 pecent

More information

Keith Dalbey, PhD. Sandia National Labs, Dept 1441 Optimization & Uncertainty Quantification

Keith Dalbey, PhD. Sandia National Labs, Dept 1441 Optimization & Uncertainty Quantification SAND 0-50 C Effective & Efficient Handling of Ill - Conditioned Coelation atices in Kiging & adient Enhanced Kiging Emulatos hough Pivoted Cholesky Factoization Keith Dalbey, PhD Sandia National Labs,

More information

XFVHDL: A Tool for the Synthesis of Fuzzy Logic Controllers

XFVHDL: A Tool for the Synthesis of Fuzzy Logic Controllers XFVHDL: A Tool fo the Synthesis of Fuzzy Logic Contolles E. Lago, C. J. Jiménez, D. R. López, S. Sánchez-Solano and A. Baiga Instituto de Micoelectónica de Sevilla. Cento Nacional de Micoelectónica, Edificio

More information

CLOUD based storage systems are emerging to gain significant

CLOUD based storage systems are emerging to gain significant IEEE TRANSACTIONS ON CLOUD COMPUTING, VOL. 5, NO. 2, APRIL-JUNE 2017 221 On the Latency and Enegy Efficiency of Distibuted Stoage Systems Akshay Kuma, Student Membe, IEEE, Ravi Tandon, Membe, IEEE, and

More information

A Consistent, User Friendly Interface for Running a Variety of Underwater Acoustic Propagation Codes

A Consistent, User Friendly Interface for Running a Variety of Underwater Acoustic Propagation Codes Poceedings of ACOUSTICS 6 - Novembe 6, Chistchuch, New Zealand A Consistent, Use Fiendly Inteface fo Running a Vaiety of Undewate Acoustic Popagation Codes Alec J Duncan, Amos L Maggi Cente fo Maine Science

More information

Efficient Execution Path Exploration for Detecting Races in Concurrent Programs

Efficient Execution Path Exploration for Detecting Races in Concurrent Programs IAENG Intenational Jounal of Compute Science, 403, IJCS_40_3_02 Efficient Execution Path Exploation fo Detecting Races in Concuent Pogams Theodous E. Setiadi, Akihiko Ohsuga, and Mamou Maekaa Abstact Concuent

More information

A New Finite Word-length Optimization Method Design for LDPC Decoder

A New Finite Word-length Optimization Method Design for LDPC Decoder A New Finite Wod-length Optimization Method Design fo LDPC Decode Jinlei Chen, Yan Zhang and Xu Wang Key Laboatoy of Netwok Oiented Intelligent Computation Shenzhen Gaduate School, Habin Institute of Technology

More information

Advances in Automobile Engineering

Advances in Automobile Engineering nadvances in Automobile E gineeing ISSN: 2167-7670 Advances in Automobile Engineeing Kabi, 2012, 1:3 DOI: 10.4172/2167-7670.1000101 Reseach Aticle Open Access Netwok Achitectue of a Moden Automotive Infotainment

More information

Conservation Law of Centrifugal Force and Mechanism of Energy Transfer Caused in Turbomachinery

Conservation Law of Centrifugal Force and Mechanism of Energy Transfer Caused in Turbomachinery Poceedings of the 4th WSEAS Intenational Confeence on luid Mechanics and Aeodynamics, Elounda, Geece, August 1-3, 006 (pp337-34) Consevation Law of Centifugal oce and Mechanism of Enegy Tansfe Caused in

More information

Conversion Functions for Symmetric Key Ciphers

Conversion Functions for Symmetric Key Ciphers Jounal of Infomation Assuance and Secuity 2 (2006) 41 50 Convesion Functions fo Symmetic Key Ciphes Deba L. Cook and Angelos D. Keomytis Depatment of Compute Science Columbia Univesity, mail code 0401

More information

A Two-stage and Parameter-free Binarization Method for Degraded Document Images

A Two-stage and Parameter-free Binarization Method for Degraded Document Images A Two-stage and Paamete-fee Binaization Method fo Degaded Document Images Yung-Hsiang Chiu 1, Kuo-Liang Chung 1, Yong-Huai Huang 2, Wei-Ning Yang 3, Chi-Huang Liao 4 1 Depatment of Compute Science and

More information

2. PROPELLER GEOMETRY

2. PROPELLER GEOMETRY a) Fames of Refeence 2. PROPELLER GEOMETRY 10 th Intenational Towing Tank Committee (ITTC) initiated the pepaation of a dictionay and nomenclatue of ship hydodynamic tems and this wok was completed in

More information

CSE 165: 3D User Interaction

CSE 165: 3D User Interaction CSE 165: 3D Use Inteaction Lectue #6: Selection Instucto: Jugen Schulze, Ph.D. 2 Announcements Homewok Assignment #2 Due Fiday, Januay 23 d at 1:00pm 3 4 Selection and Manipulation 5 Why ae Selection and

More information

Adaptation of TDMA Parameters Based on Network Conditions

Adaptation of TDMA Parameters Based on Network Conditions Adaptation of TDMA Paametes Based on Netwok Conditions Boa Kaaoglu Dept. of Elect. and Compute Eng. Univesity of Rocheste Rocheste, NY 14627 Email: kaaoglu@ece.ocheste.edu Tolga Numanoglu Dept. of Elect.

More information

HISTOGRAMS are an important statistic reflecting the

HISTOGRAMS are an important statistic reflecting the JOURNAL OF L A T E X CLASS FILES, VOL. 14, NO. 8, AUGUST 2015 1 D 2 HistoSketch: Disciminative and Dynamic Similaity-Peseving Sketching of Steaming Histogams Dingqi Yang, Bin Li, Laua Rettig, and Philippe

More information

GTOC 9, Multiple Space Debris Rendezvous Trajectory Design in the J2 environment

GTOC 9, Multiple Space Debris Rendezvous Trajectory Design in the J2 environment GTOC 9, Multiple Space Debis Rendezvous Tajectoy Design in the J envionment Macus Hallmann, Makus Schlottee, Ansga Heidecke, Maco Sagliano Fedeico Fumenti, Volke Maiwald, René Schwaz Institute of Space

More information

Obstacle Avoidance of Autonomous Mobile Robot using Stereo Vision Sensor

Obstacle Avoidance of Autonomous Mobile Robot using Stereo Vision Sensor Obstacle Avoidance of Autonomous Mobile Robot using Steeo Vision Senso Masako Kumano Akihisa Ohya Shin ichi Yuta Intelligent Robot Laboatoy Univesity of Tsukuba, Ibaaki, 35-8573 Japan E-mail: {masako,

More information

Monte Carlo Techniques for Rendering

Monte Carlo Techniques for Rendering Monte Calo Techniques fo Rendeing CS 517 Fall 2002 Compute Science Conell Univesity Announcements No ectue on Thusday Instead, attend Steven Gotle, Havad Upson Hall B17, 4:15-5:15 (efeshments ealie) Geomety

More information

Topological Characteristic of Wireless Network

Topological Characteristic of Wireless Network Topological Chaacteistic of Wieless Netwok Its Application to Node Placement Algoithm Husnu Sane Naman 1 Outline Backgound Motivation Papes and Contibutions Fist Pape Second Pape Thid Pape Futue Woks Refeences

More information

A Neural Network Model for Storing and Retrieving 2D Images of Rotated 3D Object Using Principal Components

A Neural Network Model for Storing and Retrieving 2D Images of Rotated 3D Object Using Principal Components A Neual Netwok Model fo Stong and Reteving 2D Images of Rotated 3D Object Using Pncipal Components Tsukasa AMANO, Shuichi KUROGI, Ayako EGUCHI, Takeshi NISHIDA, Yasuhio FUCHIKAWA Depatment of Contol Engineeng,

More information

Hierarchically Clustered P2P Streaming System

Hierarchically Clustered P2P Streaming System Hieachically Clusteed P2P Steaming System Chao Liang, Yang Guo, and Yong Liu Polytechnic Univesity Thomson Lab Booklyn, NY 11201 Pinceton, NJ 08540 Abstact Pee-to-pee video steaming has been gaining populaity.

More information

OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO

OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO Zeeshan A. Shaikh 1 and T.Y. Badguja 2 1,2 Depatment of Mechanical Engineeing, Late G. N. Sapkal

More information

Drag Optimization on Rear Box of a Simplified Car Model by Robust Parameter Design

Drag Optimization on Rear Box of a Simplified Car Model by Robust Parameter Design Vol.2, Issue.3, May-June 2012 pp-1253-1259 ISSN: 2249-6645 Dag Optimization on Rea Box of a Simplified Ca Model by Robust Paamete Design Sajjad Beigmoadi 1, Asgha Ramezani 2 *(Automotive Engineeing Depatment,

More information