Lecture Topics ECE 341. Lecture # 12. Control Signals. Control Signals for Datapath. Basic Processing Unit. Pipelining

Size: px
Start display at page:

Download "Lecture Topics ECE 341. Lecture # 12. Control Signals. Control Signals for Datapath. Basic Processing Unit. Pipelining"

Transcription

1 EE 341 Lectue # 12 Instucto: Zeshan hishti zeshan@ece.pdx.edu Novembe 10, 2014 Potland State Univesity asic Pocessing Unit ontol Signals Hadwied ontol Datapath contol signals Dealing with memoy delay Pipelining asic oncept Pipeline Oganization Lectue Topics Refeences: hapte 5: Section 5.5 and 5.6 (Pages of textbook) hapte 6: Sections 6.1 and 6.2 (Pages of textbook) ontol Signals ontol signals goven the opeation of a pocesso s components ontol cicuity examines the instuction in IR and geneates the contol signals needed to execute the instuction Examples of decisions made by contol signals: Which egistes (if any) ae enabled fo witing? Which input is selected by a multiplexe? What opeation is pefomed by the LU? Some contol signals depend only on instuction type, while othes depend on both the instuction type and cuent pocessing step ontol Signals fo Datapath Need contol signals fo egiste file, multiplexes and LU Inte-stage egistes tansfe data fom one stage to the next in evey cycle => no need fo a contol signal, since these egistes ae always enabled IR ddess IR ddess LU_op Y_select k In Registe File R _select LU Out RZ RF_wite IR R In 2 MuxY RY ddess Imm. Value Mux... Memoy ddess Retun ddess IR Mux 5 ondition signals RM Memoy Data LINK 2 _select

2 ontol Signals fo Memoy Inteface and IR ontol Signals fo Instuction ddess Geneato Extend 2 Immediate IR_enable IR M_select RZ P MuxM P_select P_enable R MuxP P 4 Immediate Value (anch Offset) MuxIN Mux and MuxIN RM MEM_ead MF IN_select Data MEM_wite ddess P-Temp Pocesso Memoy Inteface MuxY (Retun ddess) dde To cache and main memoy Hadwied ontol Geneation of ontol Signals How does the pocesso ensue that the contol signals needed to execute an instuction ae geneated in the coect sequence and at the ight time? Two basic appoaches: (1) Hadwied contol, (ii) Micopogammed contol The contol signals depend on the cuent pocessing step fo an instuction Question:How do we keep tack of the cuent step of an instuction? nswe: use a step counte Question: What othe factos do the contol signals depend on? nswe: ontents of instuction egiste The esult of a computation (o compaison) opeation Extenal input signals, such as inteupt equests Decode sets one of the INSioutputs, based on instuction type One of the outputs is set, based on cuent pocessing step

3 Geneation of ontol Signals (cont.) Datapath ontol Signals Example: onside the fetch stage (stage 1) of the five-stage hadwae Step counte assets the signal T1 ontol cicuity: sets M_select signal to 1 to select P contents as memoy addess activates Mem_Read to initiate a memoy ead opeation activates IR_enable to load the data etuned fom memoy into IR, when MF is asseted setsinc_selectto 0, P_selectto 1 and assets P_enableto incement P by 4 at the end of step T1 Setting of contol signals can be detemined by examining the actions taken in each execution step of evey instuction Example 1:RF_witesignal is set to 1 in step T5 duing an instuction that wites data into the egiste file: RF_wite= T5.(LU + Load + all) whee LU, Loadand all stand fo aithmetic/logic instuctions, load instuctions and suboutine call instuctions espectively RF_wite is a function of both the timing and instuction signals Example 2: The multiplexe _selectis a function of onlythe instuction and does not need to change fom one timing step to the othe _select = Immediate whee Immediatestands fo all instuctions that use an immediate opeand Dealing with Memoy Delay The step counte is usually incemented at the end of evey clock cycle Howeve, a step in which a Mem_Reado Mem_Witeis issued does not end until the MF signal is asseted Step counte should not be incemented until the MF signal is asseted ounte_enable signal contols whethe the step counte is incemented Let WMF be a contol signal that epesents the need to wait fo memoy WMF is activated only in those steps in which the Wait_fo_MF command is issued ounte_enable = NOT(WMF) + MF We must also ensue that P is incemented only once when the instuction fetch step is extended fo moe than one clock cycle P_enable signal contols if the P is incemented o not P_enable = T1.MF + T3.R whee R stands fo all the banch instuctions Pipelining

4 Oveview Taditional Pipelining oncepts So fa, we have assumed that only oneinstuction is being pocessed by the multi-stage hadwae at any point of time How do we decease the execution time of a pogam? One possibility is to use faste cicuits to implement the pocesso This appoach will decease the execution time of each instuction nothe possibility is to aange the pocesso hadwae in such a way that multipleinstuctions can be pocessed at the same time. This appoach is called pipelining Laundy Example Fou loads of laundy need to be washed, died and folded Washe takes 30 minutes D Pipelining does not change the time needed to pefom a single instuction, but it inceases the numbe of instuctions pefomed pe second (instuction completion ate o thoughput) Dye takes 40 minutes Folde takes 20 minutes Taditional Pipelining oncepts (cont.) Taditional Pipelining oncepts (cont.) 6 PM Midnight 6 PM Midnight T Sequential laundy takes 6 hous fo 4 loads If pipelining is used, how long would laundy take? a s k O Pipelined laundy takes 3.5 hous fo 4 loads d D e D

5 Taditional Pipelining oncepts (cont.) Pipelining in a 5-stage Pocesso T a s k O d e 6 PM D Pipelining doesn t educe the time taken by an individual task, it impoves the thoughput of entie wokload Task completion ate limited by slowest pipeline stage Potential speedup = Numbe of pipeline stages Unbalanced lengths of pipeline stages educes speedup to fill pipeline and time to dain it futhe educes speedup lock ycle I j I j+1 I j+2 Fetch Decode ompute Memoy Witeback Fetch Decode ompute Memoy Witeback Fetch Decode ompute Memoy Witeback t any given time, a diffeent instuction is being pocessed by each pipeline stage How do we ensue that each stage has the coect inputs that it needs to pocess a paticula instuction? Infomation needed by an instuction is caied though the pipeline, as the instuction poceeds fom one stage to the next This infomation is held in inte-stage buffes Read the details of each intestage buffe in Section 6.2 Infomation needed to pocess the instuction Pipeline Pefomance Example: pogam consisting of 500 instuctions is executed on a 5-stage pocesso. How many cycles would be equied to complete the pogam, (i) without pipelining, (ii) with pipelining? ssume idealovelap in case of pipelining. Solution: Without pipelining:each instuction will equie 5 cycles. Thee will be no ovelap amongst successive instuctions. Numbe of cycles = 500 * 5 = 2500 With pipelining:each pipeline stage will pocess a diffeent instuction evey cycle. Fist instuction will complete in 5 cycles, then one instuction will complete in evey cycle, due to ideal ovelap. Numbe of cycles = 5 + ((500-1)*1) = 504 Speedup fo ideal pipelining = 2500/504 = 4.96 (o appox. 5)

6 Pipeline Pefomance (cont.) The potential incease in pefomance esulting fom pipelining is popotional to the numbe of pipeline stages Howeve, this incease would be achieved only if all pipeline stages equie the same time to complete, and thee is no inteuption thoughout pogam execution Unfotunately, this is not tue thee ae times when an instuction cannot poceed fom one stage to the next in evey clock cycle I j+2 Pipeline Pefomance (cont.) lock ycle I j I j+1 F D M W F D M W 8 9 F D M W ssume that Instuction I j+1 is stalledin the decode stage fo two exta cycles This will cause I j+2 to be stalled in the fetch stage, until I j+1 poceeds New instuctions cannot ente the pipeline until I j+2 poceeds past the fetch stage afte cycle 5 => execution time inceases by two cycles

UCB CS61C : Machine Structures

UCB CS61C : Machine Structures inst.eecs.bekeley.edu/~cs61c UCB CS61C : Machine Stuctues Lectue SOE Dan Gacia Lectue 28 CPU Design : Pipelining to Impove Pefomance 2010-04-05 Stanfod Reseaches have invented a monitoing technique called

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hadwae Oganization and Design Lectue 16: Pipelining Adapted fom Compute Oganization and Design, Patteson & Hennessy, UCB Last time: single cycle data path op System clock affects pimaily the Pogam

More information

Introduction To Pipelining. Chapter Pipelining1 1

Introduction To Pipelining. Chapter Pipelining1 1 Intoduction To Pipelining Chapte 6.1 - Pipelining1 1 Mooe s Law Mooe s Law says that the numbe of pocessos on a chip doubles about evey 18 months. Given the data on the following two slides, is this tue?

More information

Lecture 8 Introduction to Pipelines Adapated from slides by David Patterson

Lecture 8 Introduction to Pipelines Adapated from slides by David Patterson Lectue 8 Intoduction to Pipelines Adapated fom slides by David Patteson http://www-inst.eecs.bekeley.edu/~cs61c/ * 1 Review (1/3) Datapath is the hadwae that pefoms opeations necessay to execute pogams.

More information

User Visible Registers. CPU Structure and Function Ch 11. General CPU Organization (4) Control and Status Registers (5) Register Organisation (4)

User Visible Registers. CPU Structure and Function Ch 11. General CPU Organization (4) Control and Status Registers (5) Register Organisation (4) PU Stuctue and Function h Geneal Oganisation Registes Instuction ycle Pipelining anch Pediction Inteupts Use Visible Registes Vaies fom one achitectue to anothe Geneal pupose egiste (GPR) ata, addess,

More information

COSC 6385 Computer Architecture. - Pipelining

COSC 6385 Computer Architecture. - Pipelining COSC 6385 Compute Achitectue - Pipelining Sping 2012 Some of the slides ae based on a lectue by David Culle, Pipelining Pipelining is an implementation technique wheeby multiple instuctions ae ovelapped

More information

Computer Science 141 Computing Hardware

Computer Science 141 Computing Hardware Compute Science 141 Computing Hadwae Fall 2006 Havad Univesity Instucto: Pof. David Books dbooks@eecs.havad.edu [MIPS Pipeline Slides adapted fom Dave Patteson s UCB CS152 slides and May Jane Iwin s CSE331/431

More information

CMCS Mohamed Younis CMCS 611, Advanced Computer Architecture 1

CMCS Mohamed Younis CMCS 611, Advanced Computer Architecture 1 CMCS 611-101 Advanced Compute Achitectue Lectue 6 Intoduction to Pipelining Septembe 23, 2009 www.csee.umbc.edu/~younis/cmsc611/cmsc611.htm Mohamed Younis CMCS 611, Advanced Compute Achitectue 1 Pevious

More information

The Processor: Improving Performance Data Hazards

The Processor: Improving Performance Data Hazards The Pocesso: Impoving Pefomance Data Hazads Monday 12 Octobe 15 Many slides adapted fom: and Design, Patteson & Hennessy 5th Edition, 2014, MK and fom Pof. May Jane Iwin, PSU Summay Pevious Class Pipeline

More information

CS 61C: Great Ideas in Computer Architecture. Pipelining Hazards. Instructor: Senior Lecturer SOE Dan Garcia

CS 61C: Great Ideas in Computer Architecture. Pipelining Hazards. Instructor: Senior Lecturer SOE Dan Garcia CS 61C: Geat Ideas in Compute Achitectue Pipelining Hazads Instucto: Senio Lectue SOE Dan Gacia 1 Geat Idea #4: Paallelism So9wae Paallel Requests Assigned to compute e.g. seach Gacia Paallel Theads Assigned

More information

COEN-4730 Computer Architecture Lecture 2 Review of Instruction Sets and Pipelines

COEN-4730 Computer Architecture Lecture 2 Review of Instruction Sets and Pipelines 1 COEN-4730 Compute Achitectue Lectue 2 Review of nstuction Sets and Pipelines Cistinel Ababei Dept. of Electical and Compute Engineeing Maquette Univesity Cedits: Slides adapted fom pesentations of Sudeep

More information

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards CISC 662 Gaduate Compute Achitectue Lectue 6 - Hazads Michela Taufe http://www.cis.udel.edu/~taufe/teaching/cis662f07 Powepoint Lectue Notes fom John Hennessy and David Patteson s: Compute Achitectue,

More information

Administrivia. CMSC 411 Computer Systems Architecture Lecture 5. Data Hazard Even with Forwarding Figure A.9, Page A-20

Administrivia. CMSC 411 Computer Systems Architecture Lecture 5. Data Hazard Even with Forwarding Figure A.9, Page A-20 Administivia CMSC 411 Compute Systems Achitectue Lectue 5 Basic Pipelining (cont.) Alan Sussman als@cs.umd.edu as@csu dedu Homewok poblems fo Unit 1 due today Homewok poblems fo Unit 3 posted soon CMSC

More information

CENG 3420 Computer Organization and Design. Lecture 07: MIPS Processor - II. Bei Yu

CENG 3420 Computer Organization and Design. Lecture 07: MIPS Processor - II. Bei Yu CENG 3420 Compute Oganization and Design Lectue 07: MIPS Pocesso - II Bei Yu CEG3420 L07.1 Sping 2016 Review: Instuction Citical Paths q Calculate cycle time assuming negligible delays (fo muxes, contol

More information

Computer Architecture. Pipelining and Instruction Level Parallelism An Introduction. Outline of This Lecture

Computer Architecture. Pipelining and Instruction Level Parallelism An Introduction. Outline of This Lecture Compute Achitectue Pipelining and nstuction Level Paallelism An ntoduction Adapted fom COD2e by Hennessy & Patteson Slide 1 Outline of This Lectue ntoduction to the Concept of Pipelined Pocesso Pipelined

More information

CS 2461: Computer Architecture 1 Program performance and High Performance Processors

CS 2461: Computer Architecture 1 Program performance and High Performance Processors Couse Objectives: Whee ae we. CS 2461: Pogam pefomance and High Pefomance Pocessos Instucto: Pof. Bhagi Naahai Bits&bytes: Logic devices HW building blocks Pocesso: ISA, datapath Using building blocks

More information

CENG 3420 Lecture 07: Pipeline

CENG 3420 Lecture 07: Pipeline CENG 3420 Lectue 07: Pipeline Bei Yu byu@cse.cuhk.edu.hk CENG3420 L07.1 Sping 2017 Outline q Review: Flip-Flop Contol Signals q Pipeline Motivations q Pipeline Hazads q Exceptions CENG3420 L07.2 Sping

More information

Lecture #22 Pipelining II, Cache I

Lecture #22 Pipelining II, Cache I inst.eecs.bekeley.edu/~cs61c CS61C : Machine Stuctues Lectue #22 Pipelining II, Cache I Wiewold cicuits 2008-7-29 http://www.maa.og/editoial/mathgames/mathgames_05_24_04.html http://www.quinapalus.com/wi-index.html

More information

CSE4201. Computer Architecture

CSE4201. Computer Architecture CSE 4201 Compute Achitectue Pof. Mokhta Aboelaze Pats of these slides ae taken fom Notes by Pof. David Patteson at UCB Outline MIPS and instuction set Simple pipeline in MIPS Stuctual and data hazads Fowading

More information

Chapter 4 (Part III) The Processor: Datapath and Control (Pipeline Hazards)

Chapter 4 (Part III) The Processor: Datapath and Control (Pipeline Hazards) Chapte 4 (Pat III) The Pocesso: Datapath and Contol (Pipeline Hazads) 陳瑞奇 (J.C. Chen) 亞洲大學資訊工程學系 Adapted fom class notes by Pof. M.J. Iwin, PSU and Pof. D. Patteson, UCB 1 吃感冒藥副作用怎麼辦? http://big5.sznews.com/health/images/attachement/jpg/site3/20120319/001558d90b3310d0c1683e.jpg

More information

CS 61C: Great Ideas in Computer Architecture (Machine Structures) Instruc>on Level Parallelism

CS 61C: Great Ideas in Computer Architecture (Machine Structures) Instruc>on Level Parallelism Agenda CS 61C: Geat Ideas in Compute Achitectue (Machine Stuctues) Instuc>on Level Paallelism Instuctos: Randy H. Katz David A. PaJeson hjp://inst.eecs.bekeley.edu/~cs61c/fa10 Review Instuc>on Set Design

More information

CS 61C: Great Ideas in Computer Architecture Instruc(on Level Parallelism: Mul(ple Instruc(on Issue

CS 61C: Great Ideas in Computer Architecture Instruc(on Level Parallelism: Mul(ple Instruc(on Issue CS 61C: Geat Ideas in Compute Achitectue Instuc(on Level Paallelism: Mul(ple Instuc(on Issue Instuctos: Kste Asanovic, Randy H. Katz hbp://inst.eecs.bekeley.edu/~cs61c/fa12 1 Paallel Requests Assigned

More information

A Memory Efficient Array Architecture for Real-Time Motion Estimation

A Memory Efficient Array Architecture for Real-Time Motion Estimation A Memoy Efficient Aay Achitectue fo Real-Time Motion Estimation Vasily G. Moshnyaga and Keikichi Tamau Depatment of Electonics & Communication, Kyoto Univesity Sakyo-ku, Yoshida-Honmachi, Kyoto 66-1, JAPAN

More information

Review from last lecture

Review from last lecture CSE820 Gaduate Compute Achitectue Week 3 Pefomance + Pipeline Review Based on slides by David Patteson Review fom last lectue Tacking and extapolating technology pat of achitect s esponsibility Expect

More information

Any modern computer system will incorporate (at least) two levels of storage:

Any modern computer system will incorporate (at least) two levels of storage: 1 Any moden compute system will incopoate (at least) two levels of stoage: pimay stoage: andom access memoy (RAM) typical capacity 32MB to 1GB cost pe MB $3. typical access time 5ns to 6ns bust tansfe

More information

Overview of Control. CS 152 Computer Architecture and Engineering Lecture 11. Multicycle Controller Design

Overview of Control. CS 152 Computer Architecture and Engineering Lecture 11. Multicycle Controller Design S 152 ompute chitectue and Engineeing Lectue 11 Multicycle ontolle Design Oveview of ontol ontol may be designed using one of seveal initial epesentations. The choice of sequence contol, and how logic

More information

a Not yet implemented in current version SPARK: Research Kit Pointer Analysis Parameters Soot Pointer analysis. Objectives

a Not yet implemented in current version SPARK: Research Kit Pointer Analysis Parameters Soot Pointer analysis. Objectives SPARK: Soot Reseach Kit Ondřej Lhoták Objectives Spak is a modula toolkit fo flow-insensitive may points-to analyses fo Java, which enables expeimentation with: vaious paametes of pointe analyses which

More information

ECE 341. Lecture # 10

ECE 341. Lecture # 10 EE 341 Lecture # 10 Instructor: Zeshan hishti zeshan@ece.pdx.edu October 29, 2014 Portland State University Lecture Topics Basic Processing Unit Hardware omponents Datapath Instruction Fetch Section Instruction

More information

You Are Here! Review: Hazards. Agenda. Agenda. Review: Load / Branch Delay Slots 7/28/2011

You Are Here! Review: Hazards. Agenda. Agenda. Review: Load / Branch Delay Slots 7/28/2011 CS 61C: Geat Ideas in Compute Achitectue (Machine Stuctues) Instuction Level Paallelism: Multiple Instuction Issue Guest Lectue: Justin Hsia Softwae Paallel Requests Assigned to compute e.g., Seach Katz

More information

High performance CUDA based CNN image processor

High performance CUDA based CNN image processor High pefomance UDA based NN image pocesso GEORGE VALENTIN STOIA, RADU DOGARU, ELENA RISTINA STOIA Depatment of Applied Electonics and Infomation Engineeing Univesity Politehnica of Buchaest -3, Iuliu Maniu

More information

Review: Moore s Law. EECS 252 Graduate Computer Architecture Lecture 2. Review: Joy s Law in ManyCore world. Bell s Law new class per decade

Review: Moore s Law. EECS 252 Graduate Computer Architecture Lecture 2. Review: Joy s Law in ManyCore world. Bell s Law new class per decade EECS 252 Gaduate Compute Achitectue Lectue 2 ℵ 0 Review of Instuction Sets, Pipelines, and Caches Januay 26 th, 2009 Review Mooe s Law John Kubiatowicz Electical Engineeing and Compute Sciences Univesity

More information

Modeling a shared medium access node with QoS distinction

Modeling a shared medium access node with QoS distinction Modeling a shaed medium access node with QoS distinction Matthias Gies, Jonas Geutet Compute Engineeing and Netwoks Laboatoy (TIK) Swiss Fedeal Institute of Technology Züich CH-8092 Züich, Switzeland email:

More information

Slotted Random Access Protocol with Dynamic Transmission Probability Control in CDMA System

Slotted Random Access Protocol with Dynamic Transmission Probability Control in CDMA System Slotted Random Access Potocol with Dynamic Tansmission Pobability Contol in CDMA System Intaek Lim 1 1 Depatment of Embedded Softwae, Busan Univesity of Foeign Studies, itlim@bufs.ac.k Abstact In packet

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We ae IntechOpen, the wold s leading publishe of Open Access books Built by scientists, fo scientists,800 6,000 0M Open access books available Intenational authos and editos Downloads Ou authos ae among

More information

ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS

ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS ANALYTIC PERFORMANCE MODELS FOR SINGLE CLASS AND MULTIPLE CLASS MULTITHREADED SOFTWARE SERVERS Daniel A Menascé Mohamed N Bennani Dept of Compute Science Oacle, Inc Geoge Mason Univesity 1211 SW Fifth

More information

GARBAGE COLLECTION METHODS. Hanan Samet

GARBAGE COLLECTION METHODS. Hanan Samet gc0 GARBAGE COLLECTION METHODS Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 07 e-mail: hjs@umiacs.umd.edu

More information

The Java Virtual Machine. Compiler construction The structure of a frame. JVM stacks. Lecture 2

The Java Virtual Machine. Compiler construction The structure of a frame. JVM stacks. Lecture 2 Compile constuction 2009 Lectue 2 Code geneation 1: Geneating code The Java Vitual Machine Data types Pimitive types, including intege and floating-point types of vaious sizes and the boolean type. The

More information

IP Network Design by Modified Branch Exchange Method

IP Network Design by Modified Branch Exchange Method Received: June 7, 207 98 IP Netwok Design by Modified Banch Method Kaiat Jaoenat Natchamol Sichumoenattana 2* Faculty of Engineeing at Kamphaeng Saen, Kasetsat Univesity, Thailand 2 Faculty of Management

More information

Efficient Execution Path Exploration for Detecting Races in Concurrent Programs

Efficient Execution Path Exploration for Detecting Races in Concurrent Programs IAENG Intenational Jounal of Compute Science, 403, IJCS_40_3_02 Efficient Execution Path Exploation fo Detecting Races in Concuent Pogams Theodous E. Setiadi, Akihiko Ohsuga, and Mamou Maekaa Abstact Concuent

More information

DYNAMIC STORAGE ALLOCATION. Hanan Samet

DYNAMIC STORAGE ALLOCATION. Hanan Samet ds0 DYNAMIC STORAGE ALLOCATION Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 07 e-mail: hjs@umiacs.umd.edu

More information

Pre-requisites. This is a textbook-based course. Chapter 1. Pipelines, Performance, Caches, and Virtual Memory. January 2009 Paul H J Kelly

Pre-requisites. This is a textbook-based course. Chapter 1. Pipelines, Performance, Caches, and Virtual Memory. January 2009 Paul H J Kelly 332 Advanced Compute Achitectue Chapte 1 Intoduction and eview of Pipelines, Pefomance, Caches, and Vitual Januay 2009 Paul H J Kelly These lectue notes ae patly based on the couse text, Hennessy and Patteson

More information

RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES

RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES RANDOM IRREGULAR BLOCK-HIERARCHICAL NETWORKS: ALGORITHMS FOR COMPUTATION OF MAIN PROPERTIES Svetlana Avetisyan Mikayel Samvelyan* Matun Kaapetyan Yeevan State Univesity Abstact In this pape, the class

More information

DYNAMIC STORAGE ALLOCATION. Hanan Samet

DYNAMIC STORAGE ALLOCATION. Hanan Samet ds0 DYNAMIC STORAGE ALLOCATION Hanan Samet Compute Science Depatment and Cente fo Automation Reseach and Institute fo Advanced Compute Studies Univesity of Mayland College Pak, Mayland 074 e-mail: hjs@umiacs.umd.edu

More information

A New Finite Word-length Optimization Method Design for LDPC Decoder

A New Finite Word-length Optimization Method Design for LDPC Decoder A New Finite Wod-length Optimization Method Design fo LDPC Decode Jinlei Chen, Yan Zhang and Xu Wang Key Laboatoy of Netwok Oiented Intelligent Computation Shenzhen Gaduate School, Habin Institute of Technology

More information

THE THETA BLOCKCHAIN

THE THETA BLOCKCHAIN THE THETA BLOCKCHAIN Theta is a decentalized video steaming netwok, poweed by a new blockchain and token. By Theta Labs, Inc. Last Updated: Nov 21, 2017 esion 1.0 1 OUTLINE Motivation Reputation Dependent

More information

Getting Started PMW-EX1/PMW-EX3. 1 Rotate the grip with the RELEASE button pressed. Overview. Connecting the Computer and PMW-EX1/EX3

Getting Started PMW-EX1/PMW-EX3. 1 Rotate the grip with the RELEASE button pressed. Overview. Connecting the Computer and PMW-EX1/EX3 A PMW-EX1/PMW-EX3 Getting Stated Oveview This document descibes how to use the XDCAM EX Vesion Up Tool (heeafte Vesion Up Tool ) to upgade the PMW-EX1 and PMW-EX3 to vesion 1.20 (PMW-EX1) o vesion 1.10

More information

Dynamic Multiple Parity (DMP) Disk Array for Serial Transaction Processing

Dynamic Multiple Parity (DMP) Disk Array for Serial Transaction Processing IEEE TRANSACTIONS ON COMPUTERS, VOL. 50, NO. 9, SEPTEMBER 200 949 Dynamic Multiple Paity (DMP) Disk Aay fo Seial Tansaction Pocessing K.H. Yeung, Membe, IEEE, and T.S. Yum, Senio Membe, IEEE AbstactÐThe

More information

Multidimensional Testing

Multidimensional Testing Multidimensional Testing QA appoach fo Stoage netwoking Yohay Lasi Visuality Systems 1 Intoduction Who I am Yohay Lasi, QA Manage at Visuality Systems Visuality Systems the leading commecial povide of

More information

1.3 Multiplexing, Time-Switching, Point-to-Point versus Buses

1.3 Multiplexing, Time-Switching, Point-to-Point versus Buses http://achvlsi.ics.foth.g/~kateveni/534 1.3 Multiplexing, Time-Switching, Point-to-Point vesus Buses n R m Aggegation (multiplexing) Distibution (demultiplexing) Simplest Netwoking, like simplest pogamming:

More information

GCC-AVR Inline Assembler Cookbook Version 1.2

GCC-AVR Inline Assembler Cookbook Version 1.2 GCC-AVR Inline Assemble Cookbook Vesion 1.2 About this Document The GNU C compile fo Atmel AVR isk pocessos offes, to embed assembly language code into C pogams. This cool featue may be used fo manually

More information

Realistic Memories and. 2-level Data Cache Interface (0,n)

Realistic Memories and. 2-level Data Cache Interface (0,n) Realistic Meoies and Caches Pat III Li-Shiuan Peh Copute Science & Atificial Intelligence Lab. Massachusetts Institute of Technology Apil 4, 2012 http://csg.csail.it.edu/6.s078 L15-1 2-level Data Cache

More information

EE 6900: Interconnection Networks for HPC Systems Fall 2016

EE 6900: Interconnection Networks for HPC Systems Fall 2016 EE 6900: Inteconnection Netwoks fo HPC Systems Fall 2016 Avinash Kaanth Kodi School of Electical Engineeing and Compute Science Ohio Univesity Athens, OH 45701 Email: kodi@ohio.edu 1 Acknowledgement: Inteconnection

More information

XFVHDL: A Tool for the Synthesis of Fuzzy Logic Controllers

XFVHDL: A Tool for the Synthesis of Fuzzy Logic Controllers XFVHDL: A Tool fo the Synthesis of Fuzzy Logic Contolles E. Lago, C. J. Jiménez, D. R. López, S. Sánchez-Solano and A. Baiga Instituto de Micoelectónica de Sevilla. Cento Nacional de Micoelectónica, Edificio

More information

Configuring RSVP-ATM QoS Interworking

Configuring RSVP-ATM QoS Interworking Configuing RSVP-ATM QoS Intewoking Last Updated: Januay 15, 2013 This chapte descibes the tasks fo configuing the RSVP-ATM QoS Intewoking featue, which povides suppot fo Contolled Load Sevice using RSVP

More information

Monitors. Lecture 6. A Typical Monitor State. wait(c) Signal and Continue. Signal and What Happens Next?

Monitors. Lecture 6. A Typical Monitor State. wait(c) Signal and Continue. Signal and What Happens Next? Monitos Lectue 6 Monitos Summay: Last time A combination of data abstaction and mutual exclusion Automatic mutex Pogammed conditional synchonisation Widely used in concuent pogamming languages and libaies

More information

A Two-stage and Parameter-free Binarization Method for Degraded Document Images

A Two-stage and Parameter-free Binarization Method for Degraded Document Images A Two-stage and Paamete-fee Binaization Method fo Degaded Document Images Yung-Hsiang Chiu 1, Kuo-Liang Chung 1, Yong-Huai Huang 2, Wei-Ning Yang 3, Chi-Huang Liao 4 1 Depatment of Compute Science and

More information

Conversion Functions for Symmetric Key Ciphers

Conversion Functions for Symmetric Key Ciphers Jounal of Infomation Assuance and Secuity 2 (2006) 41 50 Convesion Functions fo Symmetic Key Ciphes Deba L. Cook and Angelos D. Keomytis Depatment of Compute Science Columbia Univesity, mail code 0401

More information

Controlled Information Maximization for SOM Knowledge Induced Learning

Controlled Information Maximization for SOM Knowledge Induced Learning 3 Int'l Conf. Atificial Intelligence ICAI'5 Contolled Infomation Maximization fo SOM Knowledge Induced Leaning Ryotao Kamimua IT Education Cente and Gaduate School of Science and Technology, Tokai Univeisity

More information

A Non-blocking Directory Protocol for Large-Scale Multiprocessors. Technical Report

A Non-blocking Directory Protocol for Large-Scale Multiprocessors. Technical Report A Non-blocking Diectoy Potocol fo Lage-Scale Multipocessos Technical Repot Depatment of Compute Science and Engineeing Univesity of Minnesota 4-192 EECS Building 200 Union Steet SE Minneapolis, MN 55455-0159

More information

A VECTOR PERTURBATION APPROACH TO THE GENERALIZED AIRCRAFT SPARE PARTS GROUPING PROBLEM

A VECTOR PERTURBATION APPROACH TO THE GENERALIZED AIRCRAFT SPARE PARTS GROUPING PROBLEM Accepted fo publication Intenational Jounal of Flexible Automation and Integated Manufactuing. A VECTOR PERTURBATION APPROACH TO THE GENERALIZED AIRCRAFT SPARE PARTS GROUPING PROBLEM Nagiza F. Samatova,

More information

A Full-mode FME VLSI Architecture Based on 8x8/4x4 Adaptive Hadamard Transform For QFHD H.264/AVC Encoder

A Full-mode FME VLSI Architecture Based on 8x8/4x4 Adaptive Hadamard Transform For QFHD H.264/AVC Encoder 20 IEEE/IFIP 9th Intenational Confeence on VLSI and System-on-Chip A Full-mode FME VLSI Achitectue Based on 8x8/ Adaptive Hadamad Tansfom Fo QFHD H264/AVC Encode Jialiang Liu, Xinhua Chen College of Infomation

More information

Lecture Topics ECE 341. Lecture # 10. Register File. Hardware Components of a Processor

Lecture Topics ECE 341. Lecture # 10. Register File. Hardware Components of a Processor EE 1 Lecture # 10 Instructor: Zeshan hishti zeshan@ece.pdx.edu October 29, 201 Portland State University Lecture Topics asic Processing Unit Hardware omponents path Fetch Section Fetch and Execution Steps

More information

SIMOCODE pro. Motor Management and Control Devices. SIMOCODE pro for Modbus RTU. Answers for industry. Edition 04/2015

SIMOCODE pro. Motor Management and Control Devices. SIMOCODE pro for Modbus RTU. Answers for industry. Edition 04/2015 SIMOCODE po Moto Management and Contol Devices SIMOCODE po fo Modbus RTU Geätehandbuch Configuation Manual Edition 04/2015 Answes fo industy. Intoduction 1 System configuation and commissioning with RTU

More information

Journal of World s Electrical Engineering and Technology J. World. Elect. Eng. Tech. 1(1): 12-16, 2012

Journal of World s Electrical Engineering and Technology J. World. Elect. Eng. Tech. 1(1): 12-16, 2012 2011, Scienceline Publication www.science-line.com Jounal of Wold s Electical Engineeing and Technology J. Wold. Elect. Eng. Tech. 1(1): 12-16, 2012 JWEET An Efficient Algoithm fo Lip Segmentation in Colo

More information

All lengths in meters. E = = 7800 kg/m 3

All lengths in meters. E = = 7800 kg/m 3 Poblem desciption In this poblem, we apply the component mode synthesis (CMS) technique to a simple beam model. 2 0.02 0.02 All lengths in metes. E = 2.07 10 11 N/m 2 = 7800 kg/m 3 The beam is a fee-fee

More information

Combinatorial Mobile IP: A New Efficient Mobility Management Using Minimized Paging and Local Registration in Mobile IP Environments

Combinatorial Mobile IP: A New Efficient Mobility Management Using Minimized Paging and Local Registration in Mobile IP Environments Wieless Netwoks 0, 3 32, 200 200 Kluwe Academic Publishes. Manufactued in The Nethelands. Combinatoial Mobile IP: A New Efficient Mobility Management Using Minimized Paging and Local Registation in Mobile

More information

Accelerating Storage with RDMA Max Gurtovoy Mellanox Technologies

Accelerating Storage with RDMA Max Gurtovoy Mellanox Technologies Acceleating Stoage with RDMA Max Gutovoy Mellanox Technologies 2018 Stoage Develope Confeence EMEA. Mellanox Technologies. All Rights Reseved. 1 What is RDMA? Remote Diect Memoy Access - povides the ability

More information

Pipes, connections, channels and multiplexors

Pipes, connections, channels and multiplexors Pipes, connections, channels and multiplexos Fancisco J. Ballesteos ABSTRACT Channels in the style of CSP ae a poeful abstaction. The ae close to pipes and connections used to inteconnect system and netok

More information

Image Enhancement in the Spatial Domain. Spatial Domain

Image Enhancement in the Spatial Domain. Spatial Domain 8-- Spatial Domain Image Enhancement in the Spatial Domain What is spatial domain The space whee all pixels fom an image In spatial domain we can epesent an image by f( whee x and y ae coodinates along

More information

Communication module System Manual Part 9

Communication module System Manual Part 9 Communication module 70.4040 System Manual Pat 9 Contents 1 Intoduction 3 1.1 Peface... 3 1.2 Type designation... 4 2 Indications and contols 5 3 Integated functions 7 3.1 Oveview... 7 3.2 Outputs...

More information

Automatically Testing Interacting Software Components

Automatically Testing Interacting Software Components Automatically Testing Inteacting Softwae Components Leonad Gallaghe Infomation Technology Laboatoy National Institute of Standads and Technology Gaithesbug, MD 20899, USA lgallaghe@nist.gov Jeff Offutt

More information

arxiv: v1 [cs.lo] 3 Dec 2018

arxiv: v1 [cs.lo] 3 Dec 2018 A high-level opeational semantics fo hadwae weak memoy models axiv:1812.00996v1 [cs.lo] 3 Dec 2018 Abstact Robet J. Colvin School of Electical Engineeing and Infomation Technology The Univesity of Queensland

More information

Spiral Recognition Methodology and Its Application for Recognition of Chinese Bank Checks

Spiral Recognition Methodology and Its Application for Recognition of Chinese Bank Checks Spial Recognition Methodology and Its Application fo Recognition of Chinese Bank Checks Hanshen Tang 1, Emmanuel Augustin 2, Ching Y. Suen 1, Olivie Baet 2, Mohamed Cheiet 3 1 Cente fo Patten Recognition

More information

Shortest Paths for a Two-Robot Rendez-Vous

Shortest Paths for a Two-Robot Rendez-Vous Shotest Paths fo a Two-Robot Rendez-Vous Eik L Wyntes Joseph S B Mitchell y Abstact In this pape, we conside an optimal motion planning poblem fo a pai of point obots in a plana envionment with polygonal

More information

Query Language #1/3: Relational Algebra Pure, Procedural, and Set-oriented

Query Language #1/3: Relational Algebra Pure, Procedural, and Set-oriented Quey Language #1/3: Relational Algeba Pue, Pocedual, and Set-oiented To expess a quey, we use a set of opeations. Each opeation takes one o moe elations as input paamete (set-oiented). Since each opeation

More information

Reachable State Spaces of Distributed Deadlock Avoidance Protocols

Reachable State Spaces of Distributed Deadlock Avoidance Protocols Reachable State Spaces of Distibuted Deadlock Avoidance Potocols CÉSAR SÁNCHEZ and HENNY B. SIPMA Stanfod Univesity We pesent a family of efficient distibuted deadlock avoidance algoithms with applications

More information

On using circuit-switched networks for file transfers

On using circuit-switched networks for file transfers On using cicuit-switched netwoks fo file tansfes Xiuduan Fang, Malathi Veeaaghavan Univesity of Viginia Email: {xf4c, mv5g}@viginia.edu Abstact High-speed optical cicuit-switched netwoks ae being deployed

More information

IP Multicast Simulation in OPNET

IP Multicast Simulation in OPNET IP Multicast Simulation in OPNET Xin Wang, Chien-Ming Yu, Henning Schulzinne Paul A. Stipe Columbia Univesity Reutes Depatment of Compute Science 88 Pakway Dive South New Yok, New Yok Hauppuage, New Yok

More information

^2 PMAC NC FOR MILL APPLICATION

^2 PMAC NC FOR MILL APPLICATION ^1 SOFTWARE REFERENCE MANUA ^2 PMAC NC FOR MI APPICATION ^3 Integato/Softwae Manual ^4 3xx-603450-xSxx ^5 June 11, 2004 Single Souce Machine Contol Powe // Flexibility // Ease of Use 21314 assen Steet

More information

POMDP: Introduction to Partially Observable Markov Decision Processes Hossein Kamalzadeh, Michael Hahsler

POMDP: Introduction to Partially Observable Markov Decision Processes Hossein Kamalzadeh, Michael Hahsler POMDP: Intoduction to Patially Obsevable Makov Decision Pocesses Hossein Kamalzadeh, Michael Hahsle 2019-01-02 The R package pomdp povides an inteface to pomdp-solve, a solve (witten in C) fo Patially

More information

OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO

OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO OPTIMAL KINEMATIC SYNTHESIS OF CRANK & SLOTTED LEVER QUICK RETURN MECHANISM FOR SPECIFIC STROKE & TIME RATIO Zeeshan A. Shaikh 1 and T.Y. Badguja 2 1,2 Depatment of Mechanical Engineeing, Late G. N. Sapkal

More information

IBM Optim Query Tuning Offerings Optimize Performance and Cut Costs

IBM Optim Query Tuning Offerings Optimize Performance and Cut Costs IBM Optim Quey Tuning Offeings Optimize Pefomance and Cut Costs Saghi Amisoleymani Solution Achitect Integated Data Management amisole@us.ibm.com June 9, 2010 Disclaime Copyight IBM Copoation [cuent yea].

More information

The Dual Round Robin Matching Switch with Exhaustive Service

The Dual Round Robin Matching Switch with Exhaustive Service The Dual Round Robin Matching Switch with Exhaustive Sevice Yihan Li, Shivenda S. Panwa, H. Jonathan Chao Abstact Vitual Output Queuing is widely used by fixed-length highspeed switches to ovecome head-of-line

More information

Detection and Recognition of Alert Traffic Signs

Detection and Recognition of Alert Traffic Signs Detection and Recognition of Alet Taffic Signs Chia-Hsiung Chen, Macus Chen, and Tianshi Gao 1 Stanfod Univesity Stanfod, CA 9305 {echchen, macuscc, tianshig}@stanfod.edu Abstact Taffic signs povide dives

More information

Using SPEC SFS with the SNIA Emerald Program for EPA Energy Star Data Center Storage Program Vernon Miller IBM Nick Principe Dell EMC

Using SPEC SFS with the SNIA Emerald Program for EPA Energy Star Data Center Storage Program Vernon Miller IBM Nick Principe Dell EMC Using SPEC SFS with the SNIA Emeald Pogam fo EPA Enegy Sta Data Cente Stoage Pogam Venon Mille IBM Nick Pincipe Dell EMC v6 Agenda Backgound on SNIA Emeald/Enegy Sta fo block Intoduce NAS/File test addition;

More information

Simulation-Based Test Algorithm Generation and Port Scheduling for Multi-Port Memories

Simulation-Based Test Algorithm Generation and Port Scheduling for Multi-Port Memories imulationbased Test Algoithm Geneation and Pot cheduling fo MultiPot Memoies ChiFeng Wu, ChihTsun Huang, KuoLiang Cheng, ChihWea Wang, and ChengWen Wu Depatment of Electical Engineeing ational Tsing Hua

More information

And Ph.D. Candidate of Computer Science, University of Putra Malaysia 2 Faculty of Computer Science and Information Technology,

And Ph.D. Candidate of Computer Science, University of Putra Malaysia 2 Faculty of Computer Science and Information Technology, (IJCSIS) Intenational Jounal of Compute Science and Infomation Secuity, Efficient Candidacy Reduction Fo Fequent Patten Mining M.H Nadimi-Shahaki 1, Nowati Mustapha 2, Md Nasi B Sulaiman 2, Ali B Mamat

More information

Hierarchically Clustered P2P Streaming System

Hierarchically Clustered P2P Streaming System Hieachically Clusteed P2P Steaming System Chao Liang, Yang Guo, and Yong Liu Polytechnic Univesity Thomson Lab Booklyn, NY 11201 Pinceton, NJ 08540 Abstact Pee-to-pee video steaming has been gaining populaity.

More information

What is a System:- Characteristics of a system:-

What is a System:- Characteristics of a system:- Unit 1 st :- What is a System:- A system is an odely gouping of intedependent components linked togethe accoding to a plan to achieve a specific objective. The study of system concepts has thee basic implications:

More information

MapReduce Optimizations and Algorithms 2015 Professor Sasu Tarkoma

MapReduce Optimizations and Algorithms 2015 Professor Sasu Tarkoma apreduce Optimizations and Algoithms 2015 Pofesso Sasu Takoma www.cs.helsinki.fi Optimizations Reduce tasks cannot stat befoe the whole map phase is complete Thus single slow machine can slow down the

More information

The International Conference in Knowledge Management (CIKM'94), Gaithersburg, MD, November 1994.

The International Conference in Knowledge Management (CIKM'94), Gaithersburg, MD, November 1994. The Intenational Confeence in Knowledge Management (CIKM'94), Gaithesbug, MD, Novembe 994. Hashing by Poximity to Pocess Duplicates in Spatial Databases Walid G. Aef Matsushita Infomation Technology Laboatoy

More information

An FPGA Implementation of a Robot Control System with an Integrated 3D Vision System

An FPGA Implementation of a Robot Control System with an Integrated 3D Vision System Vol. 3, No., pp. 00-070) http://dx.doi.og/0.6493/smatsci.0.307 An FPGA Implementation of a Robot Contol System with an Integated 3D Vision System Yi-Ting Chen, Ching-Long Shih,* and Guan-Ting Chen Depatment

More information

An Efficient Handover Mechanism Using the General Switch Management Protocol on a Multi-Protocol Label Switching Network

An Efficient Handover Mechanism Using the General Switch Management Protocol on a Multi-Protocol Label Switching Network An Efficient andove Mechanism Using the Geneal Switch Management Potocol on a Multi-Potocol abel Switching Netwok Seong Gon hoi, yun Joo Kang, and Jun Kyun hoi Using the geneal switch management potocol

More information

CAM I/O Scheduler. Netflix, Inc. AsiaBSDCon 2015

CAM I/O Scheduler. Netflix, Inc. AsiaBSDCon 2015 CAM I/O Schedule ワーナーラーシュ フーメー Netflix, Inc. AsiaBSDCon 2015 東京 2015 年 4 月 15 日 http://people.feebsd.og/~imp/asiabsdcon2015/iosched-slides.pdf http://people.feebsd.og/~imp/asiabsdcon2015/pape.pdf Outline

More information

DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata

DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata DPICO: A High Speed Deep Packet Inspection Engine Using Compact Finite Automata Chistophe L. Hayes and Yan Luo Depatment of Electical and Compute Engineeing Univesity of Massachusetts Lowell Lowell, MA,

More information

Fault-Tolerant Routing Schemes in RDT(2,2,1)/α-Based Interconnection Network for Networks-on-Chip Designs

Fault-Tolerant Routing Schemes in RDT(2,2,1)/α-Based Interconnection Network for Networks-on-Chip Designs Fault-Toleant Routing Schemes in RDT(,,)/α-Based Inteconnection Netwok fo Netwoks-on-Chip Designs Mei Yang, Tao Li, Yingtao Jiang, and Yulu Yang Dept. of Electical & Compute Engineeing Univesity of Nevada,

More information

An Optimised Density Based Clustering Algorithm

An Optimised Density Based Clustering Algorithm Intenational Jounal of Compute Applications (0975 8887) Volume 6 No.9, Septembe 010 An Optimised Density Based Clusteing Algoithm J. Hencil Pete Depatment of Compute Science St. Xavie s College, Palayamkottai,

More information

4.2. Co-terminal and Related Angles. Investigate

4.2. Co-terminal and Related Angles. Investigate .2 Co-teminal and Related Angles Tigonometic atios can be used to model quantities such as

More information

Annales UMCS Informatica AI 2 (2004) UMCS

Annales UMCS Informatica AI 2 (2004) UMCS Pobane z czasopisma Annales AI- Infomatica http://ai.annales.umcs.pl Annales Infomatica AI 2 (2004) 33-340 Annales Infomatica Lublin-Polonia Sectio AI http://www.annales.umcs.lublin.pl/ Embedding as a

More information

Approximating Euclidean Distance Transform with Simple Operations in Cellular Processor Arrays

Approximating Euclidean Distance Transform with Simple Operations in Cellular Processor Arrays 00 th Intenational Wokshop on Cellula Nanoscale Netwoks and thei Applications (CNNA) Appoximating Euclidean Distance Tansfom with Simple Opeations in Cellula Pocesso Aas Samad Razmjooei and Piot Dudek

More information