Radiation Tolerant FPGAs and Space System Managers

Size: px
Start display at page:

Download "Radiation Tolerant FPGAs and Space System Managers"

Transcription

1 Radiation Tolerant FPGAs and Space System Managers Ken O Neill Director of Marketing, Space and Aviation Microsemi SOC Group

2 Agenda RTG4 TM Next Generation Radiation Tolerant FPGAs RTSX-SU, RTAX TM and RT ProASIC 3 Update Radiation Hardened by Design Flash Memory Space System Managers Questions and Answers 2

3 TM Next Generation Radiation Tolerant FPGAs

4 RT FPGAs Migrating to High Speed Processing Logic Density 150 KLE TM 20 KLE RTAX-S / DSP Command and Control Medium Speed Processing High Speed Processing 150 KLE 5 Mbit SRAM 126 GMults / second 75 Gbit / second SERDES bandwidth 9 KLE RT ProASIC3 2 KLE RTSX-SU Frequency of Operation 4

5 Next Generation Space FPGAs Designed for high-bandwidth data processing in payload applications Abundant high-performance programmable logic fabric Embedded high speed multiply-accumulate blocks Ample on-board memory with fast access time, two block sizes High performance I/Os SERDES, LVDS, DDR2, Based on 65nm Flash low power process Naturally resistant to configuration upsets Non-volatile configuration live at power-up, no external boot memory needed Low static power Radiation enhanced for GEO and deep space Total ionizing dose, single event effects hardening by design Intrinsic latch-up immunity and absence of configuration upsets RTG4 TM high-speed signal processing from your high-reliability partner 5

6 RTG4 Family Resources RT4G075 RT4G150 RT4G200 LUT4 + TMR/SET FF 77, , ,400 User IO (non-serdes) RAM24K Blocks uram1.5k Blocks RAM Mbits UPROM Kbits x18 Multiply-Accumulate Blocks SERDES lanes DDR2/3 SDRAM Controller (with ECC) 2x32 2x32 2x32 Globals PLLs (Rad Tolerant) Spacewire Clock & Data Recovery Circuits PCI Express Endpoints Packages CG1432 CG1657 CG2092 6

7 Radiation Specifications Total Dose > 100 KRad TID Single Event Effects No configuration failures (to be tested to > 110 MeV-cm 2 /mg) No single event latch-up (to be tested to > 110 MeV-cm 2 /mg) Mitigation for single event upsets Flip-flops with TMR and asynchronous self-correction (LET TH > 37 MeV-cm 2 /mg) Flip-flops in the logic fabric Flip-flops in embedded features Mathblocks etc On-chip SRAM (RAM18K and uram1k) Built-in EDAC 1E-10 errors/bit-day, GEO solar min Mitigation of single event transients Logic cells hardened with SET filter SET filter can be individually enabled / disabled for higher performance Target 1E-8 errors/bit-day, GEO solar min 7

8 RT4G150 Chip Architecture SERDES + Hardened IP (PCI-Express) PLL and CCC Mathblocks SERDES + Hardened IP (PCS) Logic Cells SDRAM DDRx Controller Block RAM (18Kb) uprom μram (1Kb) 8

9 RTG4 Logic Module TMR Protected Simplified Diagram Dedicated Flip-flop to enable efficient TMR hardening With enable, global asynchronous set/reset, and local synchronous set/reset Fast carry chain to complement Mathblock performance Arithmetic functions (add/subtract) Target 300 MHz for 32-bit functions (no SET filter) Target 250 MHz for 32-bit function (SET filter deployed) Industry standard LUT4 for efficient synthesis High utilization LUT4 and flip-flop in same module can be used independently Hierarchical routing architecture enables >95% module utilization 9

10 RTG4 Mathblock A[17:0] D ADD_SUB EN B[17:0] D + X D OVFL / CO S N [43:0] EN D[43:0] EN C[43:0] D SHIFT17 D >> 17 EN EN SEL_CASC S N-1 [43:0] 18 x 18 multiplier with advanced accumulate New 3-input adder function: (C + D) +/- (A * B) High performance for signal processing throughput 250 MHz with SET mitigation 300 MHz without SET mitigation Optional SEU-protected registers on inputs and outputs (including C input) 10

11 RTG4 Memory Blocks Radiation Tolerant Resistant to multi-bit upset Built-in optional EDAC (SECDED) LSRAM up to 24 KBit Dual-port and two-port options High performance synchronous operation Example usage Large FFT memory uram up to 1.5 KBit Three Port Memory Synchronous Write Port Two Asynchronous or Synchronous Read Ports Example usage Folded FIR filters and FFT twiddle factors Mixed port sizes Write and read port sizes can be different 300 MHz performance CLKA ADDRA[ ] WDATAA[17:0] WENA CLKB ADDRB[ ] WDATAB[17:0] WENB WCLK WADDR[ ] WDATA[17:0] WEN RCLKA RADDRA[ ] RENA RCLKB RADDRB[ ] RENB RAM24K uram1.5k RDATAA[17:0] ECC_STATA RDATAB[17:0] ECC_STATB RDATAA[17:0] ECC_STATA RDATAB[17:0] ECC_STATB 11

12 General Purpose IO Single ended standards LVCMOS from 1.2V to 3.3V LVTTL PCI Voltage reference standards (600+ Mbps) Includes on-chip termination SSTL2, SSTL18 and SSTL15 For DDR2/DDR3 SDRAM memories HSTL18 and HSTL15 For SRAM memories Differential I/O standards Includes on-chip termination True LVDS (600+ Mbps) Mini-LVDS, M-LVDS, RSDS, LVPECL 12

13 3.125Gbps SERDES PMA Based on PCIe Gen 1 PHY RT Performance = Gbps Up to eight x4 units PCI Express Protocol x1, x2, x4 64-bit AXI /AHB PCI Express Interface 4 X16 PIPE 8B/10B Encoding / Decoding PCS Programmable Logic TXDn RXDn 1 PMA 4 x 20-bit EPCS SRIO Or Custom Protocol Serializer / Deserializer Clock Recovery XAUI Bridge XGMII Or Custom Protocol <= 3.125GHz <= 156MHz 13

14 Processor IP Benchmarking 1: Aeroflex Gaisler Leon3 on Igloo2 Initial benchmarking uses Igloo2 FPGA Igloo2 is 65nm Flash FPGA commercial equivalent of RTG4 No optimization of IP yet for Igloo2 or RTG4 architecture Benchmarking of Leon3 on RTG4 will follow RTG4 has built-in SEU protection of gates and SRAM so non-ft Leon3 ok Resource utilization and performance Includes peripherals UARTs, Timer, Interrupt Controllers, etc Occupies 7% to 24% of M2GL150 (will be same for RT4G150) Main clock at 80MHz, commercial temperature range Expect ~ 110DMIPs, using 1.4 DMIPs/MHz Results courtesy of Aeroflex Gaisler 14

15 Processor IP Benchmarking 2: ARM Cortex M1 on RTG4 ARM Cortex M1 32-bit RISC microcontroller Supported by ecosystem from ARM and third-party vendors Results presented here for information only not officially supported on RTG4 Benchmarking uses RTG4 first customer access software Software is evolving and improving as we move toward production Targeting RT4G150, Dash-1 speed grade Basic processor core without peripherals was tested Main clock at 96 MHz, military temperature range Feature Logic Resources 2% Memory Resources 4% Globals 4% I/O 17% Utilization 15

16 Performance Summary General purpose logic 250 MHz system performance with SET mitigation deployed 300 MHz system performance without SET mitigation 300 MHz DSP support performance (adders, delays, etc.) Mathblock 250 MHz pipelined performance with SET mitigation deployed 300 MHz pipelined performance without SET mitigation RAM18K and uram1k > 300 MHz IO > 600 Mbps LVDS and 667 Mbps DDRx SDRAM data SERDES to Gbps Overall 250 MHz general system performance with SET mitigation deployed 300 MHz signal processing performance without SET mitigation 16

17 RTG4 Packaging Summary Flip chip assembly Hermetically-sealed cavity Internally-mounted BME decoupling capacitors Expect that this is qualifiable as Class V Pending any spec changes in Mil Prf rev K Thermal adhesive between die and seal lid (passed RGA <5,000ppm, passed outgas to NASA spec) BME decoupling capacitors placed inside Kovar Lid RTG4 Die Under fill (passed RGA <5,000ppm, passed outgas to NASA spec) 17

18 RTG4 Product Availability RT devices for space flight applications Initial device: RT4G150 Early SW access: NOW Sample RT4G150 silicon: Early CY 2015 RT4G150 development kit: Early CY 2015 Mil Std 883 class B flight units: Early CY 2016 QML class Q qualification: Late CY 2016 Non-RT Igloo2 and SmartFusion2 for emulation and development Software general availability: NOW Commercial device availability: NOW M2S150T advanced development kit: NOW 18

19 RTG4 Lead Customer Program (LCP) Program outline Early access to the first RTG4 software and documentation Start new design activity or port existing designs to RTG4 Evaluate product performance, features, documentation Provide feedback LCP has multiple phases, in which updated RTG4 software and documentations will be provided: LCP Phase 1 software available now Basic place and route with timing analysis for GPIO, logic fabric, SRAM and Mathblocks, TID timing derating, power estimator spreadsheet LCP Phase 2 software available now Adds SET filtering LCP Phase 3 software scheduled for November 2014 Adds SERDES, package pin assignments, DDR controllers, UPROM Program is currently open to new participants

20 RTSX-SU, RTAX and RT ProASIC3 Update 20

21 RTSX-SU, RTAX and RT ProASIC3 Update RT FPGAs removed from US Munitions List All Microsemi RT FPGAs now under EAR control Currently using the following Export Control Classification Numbers RTSX-SU 9A515.e2 RTAX-S/SL/DSP 9A515.e2 RT ProASIC3 3A001.a.2.c RT ProASIC3 QML qualification Currently under review with DLA, SMC, NASA Expect to finalize by end of

22 RTAX-S Space Flight Heritage Advanced EHF First Launch August 2010 CHIRP Launched Sept 2011 Mars Science Lab Curiosity Launched November 2011 MUOS First Launch February 2012 RTAX2000S-CQ352 RTAX4000S-CQ352 RTAX2000S-CG1152 RTAX1000S-CQ352 RTAX250S-CQ208 RTAX2000S-CG624 (6Σ) RTAX2000S-CG624 (BAE) IRNSS-1A/1B Launched July 2013/May 2014 Gaia Launched December 2013 Sentinel-1A Launched April 2014 ANGELS Launched July 2014 RTAX2000S-CQ256 RTAX2000S-CG624 (6Σ) RTAX2000S-CG1152 (6Σ) RTAX2000S-CG624 (6Σ) RTAX4000S-CG1272 (6Σ)

23 Preparing to Fly RTAX-S Sentinel 2 KompSat 3 ExoMars GOES-R Radarsat Constellation Mission Magnetospheric MultiScale CG624 CG624 CQ208 CQ256 CQ208 CQ352 CG624 - BAE CQ352 CQ208 CQ352 CG624-6Σ Galileo Bepi Colombo MTG James Webb Space Telescope GPS-III Iridium Next CQ352 CQ208 CQ256 CQ352 CQ352 CQ352 CQ208 CQ256 CQ352 CG624-6Σ CQ208 CQ352

24 RT ProASIC3 Flight Heritage In Flight Preparing For Flight ISS LADEE Mars InSight Deimos 2 IRIS Orbcomm G2 Iridium Next (Hosted Payload) DubaiSat 2

25 Rad Hard By Design Flash Memory 25

26 RHBD Non-Volatile Memory for Space 128 Mbit Flash memory NOR-flash architecture Parallel user interface address bus, data bus JTAG user interface for read and write 30 nsec read / write access time Radiation hardened by design TID hard to 300Krad SEL immune SEU protected to LET TH > 37 MeV-cm 2 /mg Orbital error rate <1E-10 errors/bit-day, GEO solar min This is NOT upscreened commercial product Space-grade manufacturing and packaging Mil Std 883 Class B, QML class Q, QML class V Hermetic packaging, planning for 100-pin CQFP Availability of flight-qualified parts expected

27 Space System Managers 27

28 Space System Managers Standard off-the-shelf solutions for telemetry and motor control in space Microsemi mixed-signal standard parts LX7720 current sense, rotary position sense and MOSFET drivers LX7730 voltage, current and temperature telemetry 100 Krad TID, 50 Krad ELDRS, SEE immune Microsemi RT FPGAs implement digital interface with satellite control bus LX7720 Motor Control and Current Drive RTAX2000S or RT3PE3000L FPGA Spacecraft Motors, Actuators, and Pyrotechnics Level Control PCB Power Rails and Temperature Sensors Current Sense Position Sense MOSFET Drivers Mux LX7730 Telemetry DAC ADC ΔΣ Modulator ΔΣ Modulator SPI Interface to RT FPGA GPIO SPI Interface to LX7730 User-Defined Custom Logic Leon3-FT Processor (optional) Mil Std 1553 Interface CANBus Interface Spacewire Interface PCI Interface To Spacecraft Telemetry, Tracking & Control (TT&C) system 28

29 Space System Manager Advantage The Space System Manager offers: 50% to 75% board space reduction Increased reliability Decreased weight FPGA A/D Analog Multiplexer Driver MOSFET Comparators Amplifier Discrete Solution Microsemi Space System Manager

30 LX7730: 64 Analog Input Telemetry Controller Features: 64 Universal sensor interfaces: SSA 64 single ended or 32 differential CLKA MOSI_A MISO_A ADC range scaling Level monitoring of 8 SE channels SSB CLKB MOSI_B Break before Make switching MISO_B 25ksps 12 bit ADC Optional 2 pole anti-aliasing filter 8 fixed bi-level logic interfaces 10 bit current DAC 1% voltage & 2% current references Parallel or Dual SPI interface Built in test and calibration -55 C to 125 C ambient 100krad TID, 50krad ELDRS, SEE immune 132 pin ceramic CQFP Applications: Spacecraft environment monitoring Attitude Control VDD SPI_A SPI_B CLK CE OE WE A0 A1 A2 A3 A4 D0 D1 D2 D3 D4 D5 D6 D7 PTY /ACK RESET BLO# EXT_REF VCC EXT_VEE Dual Serial or Parallel Interface and Registers Bit ADC Current Programming Range Scaling/Offset Cor Hi-Z 8 Bit DAC 2 Pole AAF IREF IREF IREF1/IREF2 + - IA Bit DAC 15V 1 of 8 bi-level input blocks + - IREF MUX 5.00V Precision REF 1 of 65 CH Current MUX 1 of 9 MUX 1 of 9 MUX 1 of 8 MUX 1 of 8 threshold blocks Threshold MUX Pos 1 Pos 2 Pos 8 1 of 8 switch banks 2.5V IREF1 IREF2 ITEST Ch1 to 8 Ch9 to 16 Ch57 to 64 SE_RTN IA_OUT ADC_IN P_DAC N_DAC BLI# BL_TH IREF1 IREF2 VREF +5V regulator +5V Charge Pump Controller PCP NCP VEE 30

31 LX7730 Satellite Telemetry Example Telemetry Management using FPGA and LX Microsemi Corporation 31

32 LX7720 Power Driver w Position Feedback Provides MOSFET motor drivers: 3 phase motors Unipolar or bipolar steppers 4 high and low side relay drivers. Up to 4 current sensors Phase currents or RTN currents Average current control loops Sensing for resolver or LVDT Detecting pulse sensors and limit switches 2013 Microsemi Corporation. 32

33 LX7720: Power Driver with Rotation and Position Sensing Four Half-Bridge Nch MOSFET drivers Four floating differential current sensors with ΣΔmodulated processed outputs Pulse density modulated resolver exciter Three differential resolver sensors with ΣΔmodulated processed outputs to FPGA Six bi-level logic inputs 10V isolation Signal-to-Motor Gnd 132 pin ceramic CQFP -55 C to 125 C ambient 100krad TID, 50krad ELDRS, SEE immune 132 pin ceramic CQFP Applications: Motor or actuator servo driver Stepper, BLDC, PMSM driver FPGA CP_CLK UD_IN_A UD_IN_A LD_IN_A OC_FAULT SNS_OUT_A PLL_CAP MOD_CLK DEMOD_IN ADC1 ADC2 ADC3 Fault CLK COND 1 of 4 driver with current sense CP_CLK Over Current Detect ΣΔ Mod Level Shift Level Shift Charge Pump VGS Level Shift MOSFET Driver +15V Level Shift MOSFET Driver Level Shift SW Pin Current Sense ΣΔ Mod ΣΔ Mod ΣΔ Mod + gm - VDMOD + - CPN_A CPP_A VFLT_A UD_A SW_A LD_A DMODP DMODN ADC1_P ADC1_N + - ADC2_P ADC2_N + - ADC3_P ADC3_N BLO1 BLO BLI1 BLI2 BLO3 + BLI3 - BLO4 + BLI4 - BLO5 + BLI5 - BLO6 BLI6 + - VDD BL_TH VPS CSPS_A VFLT_A RTN_A CS_A Encoders or hall sensors 33

34 LX7720: Attitude and Orbit Control Example Closed loop motor control using FPGA and LX Microsemi Corporation. 34

35 LX7720 Performance Highlights Parameter Comment Min Typ Max Units Motor Power Supply De-rated by 20% V MOSFET driver impedance Source or sink 1 Ω PWM frequency DC 200 khz Current sense differential range mv Current sense accuracy 7 bits Current sense latency 1.5 us Resolver carrier frequency khz Resolver accuracy 16 bits Bi-level threshold range V Bi-level propagation delay 1 us 2013 Microsemi Corporation. 35

36 Space System Manager Schedule Part Description First Customer Samples Flight-worthy (Pre-QML) (1) Production Equiv K (EK) (2) LX7730 Telemetry Controller Dec-14 May-15 Aug-15 LX7720 Position/Motor Controller CQ CQ CQ (1) - product built per QML-K assembly flow, but prior to completion of Life test and ELDRS test. (2) - Equivalent K follows QML-K flow, but is prior to official QML-K listing with the DLA. Product is qualified to 1000 hrs steady state life test. ELDRS test complete.

37 Questions and Answers 37

Radiation Tolerant FPGA Update

Radiation Tolerant FPGA Update Radiation Tolerant FPGA Update Ken O Neill Director of Marketing, Space and Aviation Microsemi SOC Group MRQW January 28, 2015 2015 Microsemi Corporation.. 1 Agenda Current Status In-Service Radiation

More information

Integration of Common Spacecraft Interfaces & Support Functions

Integration of Common Spacecraft Interfaces & Support Functions Integration of Common Spacecraft Interfaces & Support Functions Microsemi Space Forum Russia November 2013 Bruce Ferguson Chief Engineer, Analog Mixed Signal Group 2013 Microsemi Corporation. Company Proprietary

More information

Radiation-Tolerant FPGAs Update Space Forum 2017

Radiation-Tolerant FPGAs Update Space Forum 2017 Power Matters. TM Radiation-Tolerant FPGAs Update Space Forum 2017 Minh Nguyen Senior Marketing Manager, Space 1 Company Overview Leading-edge semiconductor solutions differentiated by: Performance Reliability

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Mixed Signal ICs for Space

Mixed Signal ICs for Space Power Matters. TM Mixed Signal ICs for Space Microsemi Space Forum 2015 Dorian Johnson Product Marketing Manager High Reliability ICs 1 New Products Legacy Custom Mixed Signal ICs for Space SSM Telemetry

More information

RTG4 Enabled by Microsemi Power Technology Portfolio

RTG4 Enabled by Microsemi Power Technology Portfolio Power Matters. TM RTG4 Enabled by Microsemi Power Technology Portfolio Microsemi Space Forum 2015 Ken O Neill, Director of Marketing, Space and Aviation Brian Wilkinson, Sr Director Technical & Strategic

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

SEFUW workshop. Feb 17 th 2016

SEFUW workshop. Feb 17 th 2016 SEFUW workshop Feb 17 th 2016 NanoXplore overview French fabless company with two activities FPGA core IP High reliable FPGA devices Lead by FPGA industry experts with more than 25 years track records

More information

Radiation-Tolerant FPGAs

Radiation-Tolerant FPGAs Space Solutions Radiation-Tolerant FPGAs RTG4 RTAX -S/SL RTAX-DSP RT ProASIC 3 RTSX-SU The leader in programmable digital logic for spaceflight applications. 1 Taking Designs from Earth to Outer Space

More information

Radiation-Tolerant FPGA Update

Radiation-Tolerant FPGA Update Power Matters. TM Radiation-Tolerant FPGA Update Ken O Neill Director of Marketing, Space and Aviation 1 Company Overview Leading-edge semiconductor solutions differentiated by: Performance Reliability

More information

Radiation-Tolerant FPGAs

Radiation-Tolerant FPGAs Space Solutions Radiation-Tolerant FPGAs RTG4 RTAX -S/SL RTAX-DSP RT ProASIC 3 RTSX-SU The leader in programmable digital logic devices for spaceflight applications. 2 Taking Designs from Earth to Outer

More information

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing Power Matters Microsemi Space FPGAs Qualification and Reliability Update Solomon Wolday Product Engineering Manager Ken O Neill Director of Marketing Microsemi SoC Products Group 2012 Microsemi Corporation.

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016

Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Xilinx Virtex-5QV Update and Space Roadmap Kangsen Huey Space Marketing Aerospace & Defense 17th, March, 2016 Status of V5QV Many programs have built hardware and integrated into Spacecrafts awaiting for

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

How to Use the LX7730 Evaluation Board

How to Use the LX7730 Evaluation Board How to Use the LX770 Evaluation Board Table of Contents Introduction... 2 Kit Contents LX770-EVB... Setup Procedure... 4 Powering on the Device... 5 Using the Software GUI... 5 Sensor Multiplexer Block

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Microsemi Secured Connectivity FPGAs

Microsemi Secured Connectivity FPGAs IoT Solutions Microsemi Secured Connectivity FPGAs SmartFusion2 SoC FPGAs Low Power Small Form Factors Scalable Security Secured Connectivity FPGAs Best in Class for IoT Infrastructure The IoT Infrastructure

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation FPGAs For SPACE APPLICATIONS 2012, Sept. 1 2012 Copyright Atmel Corporation 03/14/2012 Roadmap Legend Product Eng Sample Concept Eng Sample FM FM AT40K family ATF5000 ATF2500 ATFSee900 Next generation

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Application Note AC387 Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Table of Contents Introduction................................................ 1 RTAX-S Basic Information on

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

GOES-R SpaceWire Implementation

GOES-R SpaceWire Implementation GOES-R SpaceWire Implementation William Anderson GSFC/MEI Technologies Inc. William.H.Anderson@nasa.gov International SpaceWire Conference 2007 Dundee, Scotland, UK September 17, 2007 1 GOES-R SpaceWire

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

HX5000 Standard Cell ASIC Platform

HX5000 Standard Cell ASIC Platform Standard Cell ASIC Platform GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell s fully QML Qualified 150nm CMOS Silicon on Insulator technology using a cell-based

More information

Space: The Final Frontier FPGAs for Space and Harsh Environments

Space: The Final Frontier FPGAs for Space and Harsh Environments Space: The Final Frontier FPGAs for Space and Harsh Environments Introduction FPGAs offer several benefits to the system designer Flexibility of Design performance, upgrades Reduction in NRE and Cost.

More information

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs Advance v0.2 Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs with Flash*Freeze Technology Features and Benefits MIL-STD-883 Class B Qualified Packaging Ceramic Column Grid Array with Six

More information

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Radiation-Hardened Solutions for CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor company headquartered

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

Microsemi Update for SPWG 2017

Microsemi Update for SPWG 2017 Power Matters. TM Microsemi Update for SPWG 2017 Chris Hart, Director of Marketing, Aerospace Products, Discrete Products Group Ken O Neill, Director of Marketing, Space and Aviation, Integrated Circuits

More information

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies VORAGO Technologies, Austin, Texas.

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

Microcontrollers Applications within Thales Alenia Space products

Microcontrollers Applications within Thales Alenia Space products ADCSS 2010 Microcontrollers for Embedded Space Applications (MESA) Roundtable Template reference : 100181670S-EN Microcontrollers Applications within Thales Alenia Space products Presented by: M.L. Esposti

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies Your Company Logo Here Flying High-Performance FPGAs on Satellites: Two Case Studies Introduction Often when considering flying a FPGA or other high-performance device the first thoughts might be how will

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS Katherine Conway, Bert Vermeire, Jordan Healea, David Strobel Space Micro Inc. CubeSat Developers Workshop 2017 Cal Poly San Luis Obispo April 26-28,

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

ASICs Digital and Mixed-Signal

ASICs Digital and Mixed-Signal ASICs Digital and Mixed-Signal Brochure January 2018 Digital and Mixed-Signal custom, semi custom, off-the-shelf designs with Cobham Gaisler IP Guaranteed radiation performance QML-V, QML-Q, QML-Y, military,

More information

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications Overview Why is power a problem? What can FPGAs do? Are we safe

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512)

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512) VORAGO TECHNOLOGIES Rad-hard CMOS Based Technology for Space Ross Bannatyne rbannatyne@voragotech.com (512) 550-2954 Space Parts Working Group Torrance, CA April 4-5th, 2017 VORAGO Technologies Privately

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

SmartFusion 2 System-on-Chip FPGA

SmartFusion 2 System-on-Chip FPGA SmartFusion 2 System-on-Chip FPGA Breakthrough in Security, Reliability and Low Power Microsemi s next-generation SmartFusion2 SoC FPGAs are the only devices that address fundamental requirements for advanced

More information

University Program Advance Material

University Program Advance Material University Program Advance Material Advance Material Modules Introduction ti to C8051F360 Analog Performance Measurement (ADC and DAC) Detailed overview of system variances, parameters (offset, gain, linearity)

More information

Command & Data Handling. By: Justin Hadella Brandon Gilles

Command & Data Handling. By: Justin Hadella Brandon Gilles Command & Data Handling By: Justin Hadella Brandon Gilles Outline Design Goals Requirements System Layout Processor Considerations Baseline Design Current Development 2 Design Goals 1 Watt Operational

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package INSTITUTE OF COMPUTER AND NETWORK ENGINEERING Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package Björn Fiethe, Frank Bubenhagen, Tobias Lange,

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

The Fully Configurable Cortex-M3

The Fully Configurable Cortex-M3 Power Matters. The Fully Configurable Cortex-M3 Peter Trott Snr FAE Microsemi Peter.trott@microsemi.com Features Microsemi SoC Product Roadmap Increasing system features on differentiated flash technology

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform QPO High-eliability QML Certified and adiation Hardened Products for Aerospace and Defense Applications January 21, 2 (v2.) 7* The High-eliability Programmable Leader Xilinx is the leading supplier of

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17 DATA SHEET Revision: 1.0 AGM AG16K FPGA Low Cost and High Performance FPGA Page 1 of 17 General Description AGM AG16K FPGA devices are targeted to high-volume, cost-sensitive, applications, enabling system

More information

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications

Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications Hot Chips August 2009 Ultra-low power, Single-chip SRAM FPGA Targets Handheld Consumer Applications PMP / Games Netbooks Pico Projectors Smart Phones Power? DSC Cost? New Features? Size? epaper ebook Time

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Advanced Concepts and Components for adaptive Avionics

Advanced Concepts and Components for adaptive Avionics Advanced Concepts and Components for adaptive Avionics Ph. Armbruster Head of Data Systems Division ESTEC 03/03/2016 AVIONICS : Cost reduction as a challenge AVIONICS include: Data Handling TM/TC Attitude

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit L. Piché, B. Gordon - Routes AstroEngineering L. Laba, K. Magnussen - MDA 1 Presentation Outline Description of Cascade System

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth Smart Microsystems A Feasibility Study to Investigate the Decentralisation of Space Systems with highly efficient Micronodes using advanced ASIC technologies John Cornforth (1), Andrew Bacon (1), I Sturland

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR)

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) 0 QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR) DS062 (v3.1) November 5, 2001 0 2 Preliminary Product Specification Features XQ1701L/XQR1701L QML Certified Configuration

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018

RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018 Radiation Group 1 I. Introduction This document disseminates recently acquired single-event-effects (SEE) data on the

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3

SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3 SmartFusion 2 Next-generation System-on-Chip FPGA Lowest Power Advanced Security Highest Reliability 150K LEs ARM Cortex -M3 DSP Transceivers DDR3 SmartFusion 2 System-on-Chip FPGA Breakthrough in Security,

More information

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017

VORAGO TECHNOLOGIES. 6 th Interplanetary CubeSat Workshop Cambridge, May, 2017 VORAGO TECHNOLOGIES Radiation-hardened ARM Cortex -M0 Microcontroller for CubeSats / SmallSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies Privately held fabless semiconductor

More information