AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

Size: px
Start display at page:

Download "AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY"

Transcription

1 AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R

2 OVERVIEW Digital designers are now required to make the leap from time domain to frequency domain, facing complexity similar to their RF/microwave brethren. They need to learn new methodologies like COM (channel operating margins), PAM4 (pulse amplitude modulation with 4 states), and HMC (hybrid memory cube & other 3D memory architectures). To tackle these challenges, HyperLynx SI/PI integrates signal- and powerintegrity analysis, 3D-electromagnetic solving, and fast DRC checking into a single unified environment. With such a complete set of analysis technologies, engineers can design any type of high-speed digital PCB. With a wide range of underlying simulation engines and featuring a GUI that supports both quick/interactive and exhaustive batch-mode analysis, HyperLynx sets the standard for deployment of high-speed capabilities in one easy-to-use environment. ALL IN ONE ENVIRONMENT High-speed PCBs vary greatly in size, layer count, routing density, signaling speed, types of silicon used, power-delivery challenges, and other factors. Some designers resort to multiple analysis tools, for example trying a batch-mode SI (signal integrity) simulator for slower signals and a 3D-EM solver for very-high-speed SERDES channels. But even tools offered by a single EDA vendor typically require changing applications and user interfaces for different types of analysis (e.g., signal versus power versus 3D). In contrast, HyperLynx offers all types of analysis in a single application, with one GUI. A user can literally be simulating a critical SERDES channel one minute, and analyzing a large power net s decoupling the next minute, simply by selecting a menu item. But such convenience is valuable only if underlying simulation engines and algorithms are strong. Significant R&D investments in interconnect modeling mean that HyperLynx now combines a super-fast computational geometry engine with advanced materials modeling (for wideband dielectrics, copper roughness, etc.) to produce highly accurate simulation netlists. FEATURES AND BENEFITS: Integrated technologies combine powerful, world-class EM solvers, simulators, and geometry processing engines that simplify methodologies for design, analysis, and signoff validation Hybrid analysis flow and pattern recognition techniques reduce simulation time by orders of magnitude Power-aware channel extraction and advanced decoupling analysis utilize a hybrid, full-wave solver to enhance power integrity analysis, including complex wide-band electromagnetic interactions between multiple power nets, traces, vias, and metal area fills First commercially available solution for COM (channel operating margin) analysis specified in the 100 Gb/s Ethernet IEEE 802.3bj specification PAM4 signaling supported within the HyperLynx channel analysis wizards allowing what-if and post-layout analysis for research and trade-off analysis between traditional and emerging technologies HTML-based reporting simplifies design documentation and speeds signoff Crosstalk can be modeled in great detail; aggressor nets can be identified quickly in even the largest layout databases, based on geometric or electrical thresholds. For higher signaling speeds, a unique, dedicated engine and robust simulators efficiently handle S-parameter extractions and S-parameter models of virtually any size. 2 [5]

3 MEETING THE CHALLENGES OF NEW TECHNOLOGIES SERDES SERDES technology has greatly increased the frequencies used in digital signaling even a mainstream protocol like PCIe Gen3 runs at 8 Gb/s. HyperLynx has advanced electromagnetic (EM) solvers, including full-wave 3D, to handle this challenge. The figure to the right shows the effects of increasingly higher data rates and frequencies on a real SERDES channel. 3D EM simulation can be complex to understand and set up; accordingly, HyperLynx deeply integrates the 3D engine so that the user never has to learn the intricacies of a full-wave-solver environment. Structure geometries are passed, EM ports are formed, simulations are run, and S-parameter results are returned and incorporated into time-domain simulations, automatically. To keep up with leading-edge SERDES simulation, HyperLynx includes the ability to simulate SERDES signaling based on PAM-4 modulation. A hot topic in the industry, PAM-4 uses four logic levels instead of NRZ modulation s traditional two and is a big-enough technological shift that many designers At top are the effects of increasingly high data rates on the eye closure of an actual SERDES channel. At bottom is a representation of how faster data rates/higher frequencies demand simulation modeling that is more and more detailed. are taking their time before committing to it. Using HyperLynx, engineers can experiment with PAM-4 in their existing and proposed systems, and contrast it directly with NRZ to see whether the switchover is worth risking. The figure below shows NRZ and PAM-4 eye diagrams for the same channel. NRZ and PAM-4 eye diagrams for the same channel. The PAM-4 signal transmits the same data rate at half the baud rate. Lately, PCB power-delivery networks (PDNs) have come under a lot of stress. What formerly were power planes are now collections of highly compromised power areas, whose integrity must be simulated. HyperLynx has multiple engines two 2.5D solvers, the industry s fastest DC/IR-drop simulator, and a fast, quasi-static 3D solver to enable a full set of power-integrity features, all of which are available in the same application as HyperLynx signalintegrity capabilities. The most recent engine is a powerful 2.5D solver that provides mixed-signal-and-power modeling for added accuracy in SI simulations when simultaneous switching-noise (SSN) complications are suspected. Much effort has also gone into accurately analyzing designs with non-ideal PDNs, including designs in which power is distributed primarily by traces rather than by large areas. 3 [5]

4 From the user s standpoint, power integrity (PI) simulation differs from SI in one very important way: the structures being modeled are much larger so analysis, therefore, takes much longer. Accordingly, all HyperLynx PI engines are constantly tuned for speed and contend strongly for best-in-industry performance. HyperLynx PI allows users to perform more-detailed and more-exhaustive simulation, similar to what they ve become accustomed to doing for SI effects. STREAMLINING BOARD-WIDE ANALYSIS Simulating every detail of signal routing and power delivery on a PCB is powerful, but it can be overwhelming. Tuning raw simulation capabilities to the specific requirements of standard interfaces and protocols (e.g. DDRx memory and 100-Gb/s Ethernet SERDES) eases the burden and provides streamlined, summary pass/fail judgment on entire interfaces. The HyperLynx DDRx batch-simulation wizard pioneered easy setup, automated whole-bus simulation, and consolidated results-reporting for memory interfaces, including DDR4 and LPDDR4 interfaces. HTML-based reporting creates design documentation and allows internal Web-based publication of results. In the SERDES arena, protocols that support Channel Operating Margin (COM) check the goodness of links based on a specific, complex set An example page in the HyperLynx DDRx Analysis Wizard. The Wizard interviews the user about a DDRx interface. Once the information is supplied, the Wizard runs thousands of simulations, makes all timing and SI measurements, records detailed waveforms, and presents pass/fail results for the entire interface. of simulation steps that produce a single pass/fail number per channel. HyperLynx was also the first to offer robust commercial implementation of COM for 100GbE signaling, with all simulation details fully automated. HyperLynx HTML-based reporting simplifies creation of design documentation. Another way to streamline the daunting task of simulating all signal and power effects on a large PCB is to proactively identify portions of a design that most need detailed analysis, and to reduce the time required for simulation by promoting aggressive re-use of expensive-to-create models (like 3D-based S parameters). 4 [5]

5 HyperLynx does this by integrating the powerful HyperLynx DRC engine directly inside the HyperLynx SI/PI environment. The super-fast DRC engine (capable of scanning the entire board for routing and other geometric anomalies in seconds) can provide simulation triage, by accurately finding layout structures that violate design intent or best practice. For example, HyperLynx SI/PI deploys this engine to automatically find all differential via pairs that do not conform to pre-designed known-good patterns. It will also group all such vias into sets for which only one 3D-EM S-parameter extraction (automatically run) is needed per set, potentially saving many hours of simulation time per board. The same technology can also be used to find all of Pioneering COM implementation allows simulation of 100GbE signaling. the via patterns (good or bad ) that occur on a selected group of nets, automatically creating a minimum number of sets and sending each pattern off to the 3D-EM solver for extraction. Finally, while HyperLynx continues to stay true to its heritage of ease-of-use and fast interactive analysis, it also excels in a very different type of usage: that of a pure batch-mode environment, driven by scripts, run on entire layouts at least once-per-day, with little/no user intervention and a completely suppressed GUI. Included with HyperLynx for this use are the ability to efficiently handle very large layouts (including extra-deep stackups, huge net counts, and entire multi-board systems); high-performance multi-processor and simulation engines and the ability to cache and re-use extracted models. HyperLynx offers two scripting interfaces: a simplified interface requiring no programming expertise and a richer, language-based environment that provides customized access to the powerful HyperLynx engines. SUMMARY Long the most widely used high-speed tool in the industry; HyperLynx is now the most powerful and bestintegrated high-speed tool as well. Engineers who still think of HyperLynx simply as providing `fast and easy SI should take a fresh look at how dramatically it has strengthened and matured over the years. With many years of R&D investment and technology acquisition, HyperLynx offers a rich set of robust, high-performance, high-accuracy simulation capabilities in a single unified environment. HyperLynx can address all aspects of signal-integrity, power-integrity, SERDES, and 3D-electromagnetic analysis, and perform fast DRC/geometry scanning for simulation triage. The result is a toolset capable of a full range of capabilities from fast/interactive analysis, through complex mixed mode (signal/power/3d) simulation, and high-capacity, script-driven, daily-batch analysis. For the latest product information, call us or visit: 2017 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC TECH15390-w

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS w w w. m e n t o r. c o m Creating a 3D Via Model in HyperLynx for Channel Analysis This lab will illustrate the integrated 3D via solver within

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

HYPERLYNX DDR3 Wizard

HYPERLYNX DDR3 Wizard HYPERLYNX DDR3 Wizard w w w. m e n t o r. c o m HyperLynx DDR3 Wizard Virtual Lab Exercise Guide This tutorial outlines the steps for completing a DDR3 Wizard DDR analysis example in HyperLynx BoardSim.

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS L I B R A R Y M A N A G E M E N T W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Accurate and

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

SIEM Solutions from McAfee

SIEM Solutions from McAfee SIEM Solutions from McAfee Monitor. Prioritize. Investigate. Respond. Today s security information and event management (SIEM) solutions need to be able to identify and defend against attacks within an

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

Paper. Delivering Strong Security in a Hyperconverged Data Center Environment

Paper. Delivering Strong Security in a Hyperconverged Data Center Environment Paper Delivering Strong Security in a Hyperconverged Data Center Environment Introduction A new trend is emerging in data center technology that could dramatically change the way enterprises manage and

More information

Personal Automated Design System Release Highlights

Personal Automated Design System Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.3 February 2018 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary

More information

SD-WAN Solution How to Make the Best Choice for Your Business

SD-WAN Solution How to Make the Best Choice for Your Business HOW-TO GUIDE Choosing the Right SD-WAN Solution How to Make the Best Choice for Your Business Section Title - 1 TABLE OF CONTENTS Introduction 3 CH. 1 Why Organizations are Choosing SD-WAN 4 CH. 2 What

More information

Lenovo Database Configuration for Microsoft SQL Server TB

Lenovo Database Configuration for Microsoft SQL Server TB Database Lenovo Database Configuration for Microsoft SQL Server 2016 22TB Data Warehouse Fast Track Solution Data Warehouse problem and a solution The rapid growth of technology means that the amount of

More information

Benefits of a SD-WAN Development Ecosystem

Benefits of a SD-WAN Development Ecosystem Benefits of a SD-WAN Development Ecosystem By: Lee Doyle, Principal Analyst at Doyle Research Sponsored by CloudGenix Executive Summary In an era of digital transformation with its reliance on cloud/saas

More information

Oracle Exadata: Strategy and Roadmap

Oracle Exadata: Strategy and Roadmap Oracle Exadata: Strategy and Roadmap - New Technologies, Cloud, and On-Premises Juan Loaiza Senior Vice President, Database Systems Technologies, Oracle Safe Harbor Statement The following is intended

More information

Crosstalk, Part 2 Simulating Crosstalk Effects

Crosstalk, Part 2 Simulating Crosstalk Effects TECHNICAL PUBLICATION Crosstalk, Part 2 Simulating Crosstalk Effects Douglas Brooks, President UltraCAD Design, Inc. April 2004 www.mentor.com ABSTRACT It is known that forward crosstalk increases (for

More information

Solace JMS Broker Delivers Highest Throughput for Persistent and Non-Persistent Delivery

Solace JMS Broker Delivers Highest Throughput for Persistent and Non-Persistent Delivery Solace JMS Broker Delivers Highest Throughput for Persistent and Non-Persistent Delivery Java Message Service (JMS) is a standardized messaging interface that has become a pervasive part of the IT landscape

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

AWR. White Paper. Exactly How Electromagnetic Should Be Part of a Design Flow! introduction

AWR. White Paper. Exactly How Electromagnetic Should Be Part of a Design Flow! introduction Extract Flow introduction Modern RF/microwave design flows make extensive use of electromagnetic (EM) analysis in many ways, and its co-existence and concurrency with circuit design and analysis can not

More information

Abstract. The Challenges. ESG Lab Review InterSystems IRIS Data Platform: A Unified, Efficient Data Platform for Fast Business Insight

Abstract. The Challenges. ESG Lab Review InterSystems IRIS Data Platform: A Unified, Efficient Data Platform for Fast Business Insight ESG Lab Review InterSystems Data Platform: A Unified, Efficient Data Platform for Fast Business Insight Date: April 218 Author: Kerry Dolan, Senior IT Validation Analyst Abstract Enterprise Strategy Group

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

Iron Networks, Inc. Turnkey Converged Infrastructure-as-a-Service Platforms

Iron Networks, Inc. Turnkey Converged Infrastructure-as-a-Service Platforms Iron Networks, Inc. Turnkey Converged Infrastructure-as-a-Service Platforms Iron Networks builds turnkey converged cloud infrastructure platforms on industry-standard hardware that are optimized for faster,

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer Designing High-Speed Memory Subsystem using DDR Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 Your Design for Excellence Partner Since 1997 EDA Direct has helped

More information

Industrial Defender ASM. for Automation Systems Management

Industrial Defender ASM. for Automation Systems Management Industrial Defender ASM for Automation Systems Management INDUSTRIAL DEFENDER ASM FOR AUTOMATION SYSTEMS MANAGEMENT Industrial Defender ASM is a management platform designed to address the overlapping

More information

Silicon Photonics Scalable Design Framework:

Silicon Photonics Scalable Design Framework: Silicon Photonics Scalable Design Framework: From Design Concept to Physical Verification Hossam Sarhan Technical Marketing Engineer hossam_sarhan@mentor.com Objective: Scalable Photonics Design Infrastructure

More information

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision At-A-Glance Unified Computing Realized Today, IT organizations assemble their data center environments from individual components.

More information

xiii A. Hayden Lindsey IBM Distinguished Engineer and Director, Studio Tools Foreword

xiii A. Hayden Lindsey IBM Distinguished Engineer and Director, Studio Tools Foreword Foreword Business applications are increasingly leveraging Web technologies. Naturally this is true for Internet applications, but it is also true for intranet and extranet applications applications that

More information

DELL POWERVAULT MD FAMILY MODULAR STORAGE THE DELL POWERVAULT MD STORAGE FAMILY

DELL POWERVAULT MD FAMILY MODULAR STORAGE THE DELL POWERVAULT MD STORAGE FAMILY DELL MD FAMILY MODULAR STORAGE THE DELL MD STORAGE FAMILY Simplifying IT The Dell PowerVault MD family can simplify IT by optimizing your data storage architecture and ensuring the availability of your

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

The New Normal. Unique Challenges When Monitoring Hybrid Cloud Environments

The New Normal. Unique Challenges When Monitoring Hybrid Cloud Environments The New Normal Unique Challenges When Monitoring Hybrid Cloud Environments The Evolving Cybersecurity Landscape Every day, the cybersecurity landscape is expanding around us. Each new device connected

More information

2. BOM integration? Variable BOMs? No-pop? How is all that handled in ODB++?

2. BOM integration? Variable BOMs? No-pop? How is all that handled in ODB++? High Level f a c t s h e e t Table of Contents 1. Is ODB++ available to the whole industry? 2. Is ODB++ a standard? 3, Who should implement ODB++ and why? 4. Which CAD systems can support ODB++ output?

More information

BUYING SERVER HARDWARE FOR A SCALABLE VIRTUAL INFRASTRUCTURE

BUYING SERVER HARDWARE FOR A SCALABLE VIRTUAL INFRASTRUCTURE E-Guide BUYING SERVER HARDWARE FOR A SCALABLE VIRTUAL INFRASTRUCTURE SearchServer Virtualization P art 1 of this series explores how trends in buying server hardware have been influenced by the scale-up

More information

Networking for a smarter data center: Getting it right

Networking for a smarter data center: Getting it right IBM Global Technology Services October 2011 Networking for a smarter data center: Getting it right Planning the network needed for a dynamic infrastructure 2 Networking for a smarter data center: Getting

More information

Data Leakage Prevention. - Protection of Outbound Communication -

Data Leakage Prevention. - Protection of Outbound  Communication - Data Leakage Prevention - Protection of Outbound Email Communication - Contents 1 The Underestimated Danger... 2 2 Measures for Secure Outgoing Communication... 2 2.1 Checking Outgoing Attachments... 3

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

HP ProLiant BladeSystem Gen9 vs Gen8 and G7 Server Blades on Data Warehouse Workloads

HP ProLiant BladeSystem Gen9 vs Gen8 and G7 Server Blades on Data Warehouse Workloads HP ProLiant BladeSystem Gen9 vs Gen8 and G7 Server Blades on Data Warehouse Workloads Gen9 server blades give more performance per dollar for your investment. Executive Summary Information Technology (IT)

More information

Oracle Disaster Recovery Solution Trusted by companies in 50 countries

Oracle Disaster Recovery Solution Trusted by companies in 50 countries Oracle Disaster Recovery Solution Trusted by companies in 50 countries Oracle is a registered trademark of Oracle Corporation. Dbvisit is a registered trademark of Avisit Solutions Limited Dbvisit Customers

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Layer Stackup Wizard: Intuitive Pre-Layout Design

Layer Stackup Wizard: Intuitive Pre-Layout Design Application Brief Layer Stackup Wizard: Intuitive Pre-Layout Design INTRODUCTION This Application Brief describes the Layer Stackup Wizard, a powerful utility within ANSYS SIwave for pre-layout stackup

More information

Three Key Challenges Facing ISPs and Their Enterprise Clients

Three Key Challenges Facing ISPs and Their Enterprise Clients Three Key Challenges Facing ISPs and Their Enterprise Clients GRC, enterprise services, and ever-evolving hybrid infrastructures are all dynamic and significant challenges to the ISP s enterprise clients.

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

SOFTWARE-DEFINED BLOCK STORAGE FOR HYPERSCALE APPLICATIONS

SOFTWARE-DEFINED BLOCK STORAGE FOR HYPERSCALE APPLICATIONS SOFTWARE-DEFINED BLOCK STORAGE FOR HYPERSCALE APPLICATIONS SCALE-OUT SERVER SAN WITH DISTRIBUTED NVME, POWERED BY HIGH-PERFORMANCE NETWORK TECHNOLOGY INTRODUCTION The evolution in data-centric applications,

More information

Microsoft Office SharePoint Server 2007

Microsoft Office SharePoint Server 2007 Microsoft Office SharePoint Server 2007 Enabled by EMC Celerra Unified Storage and Microsoft Hyper-V Reference Architecture Copyright 2010 EMC Corporation. All rights reserved. Published May, 2010 EMC

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Personal Automated Design System. Release Highlights

Personal Automated Design System. Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.1 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor

More information

The McAfee MOVE Platform and Virtual Desktop Infrastructure

The McAfee MOVE Platform and Virtual Desktop Infrastructure The McAfee MOVE Platform and Virtual Desktop Infrastructure Simplifying and accelerating security management for virtualized environments Table of Contents Wish List of Security Elements for Virtualized

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

SUPPLEMENTAL RESOURCES

SUPPLEMENTAL RESOURCES BIG IDEAS FOR SmAll BuSInESS: SUPPLEMENTAL RESOURCES Using prepackaged services can help you save time and money while allowing you to focus on growing your business. BIg IDeAs FOr small BusINess: SUPPLEMENTAL

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

Networking for a dynamic infrastructure: getting it right.

Networking for a dynamic infrastructure: getting it right. IBM Global Technology Services Networking for a dynamic infrastructure: getting it right. A guide for realizing the full potential of virtualization June 2009 Executive summary June 2009 Networking for

More information

Securing Amazon Web Services (AWS) EC2 Instances with Dome9. A Whitepaper by Dome9 Security, Ltd.

Securing Amazon Web Services (AWS) EC2 Instances with Dome9. A Whitepaper by Dome9 Security, Ltd. Securing Amazon Web Services (AWS) EC2 Instances with Dome9 A Whitepaper by Dome9 Security, Ltd. Amazon Web Services (AWS) provides business flexibility for your company as you move to the cloud, but new

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Storage Designed to Support an Oracle Database. White Paper

Storage Designed to Support an Oracle Database. White Paper Storage Designed to Support an Oracle Database White Paper Abstract Databases represent the backbone of most organizations. And Oracle databases in particular have become the mainstream data repository

More information

INCREASING DENSITY AND SIMPLIFYING SETUP WITH INTEL PROCESSOR-POWERED DELL POWEREDGE FX2 ARCHITECTURE

INCREASING DENSITY AND SIMPLIFYING SETUP WITH INTEL PROCESSOR-POWERED DELL POWEREDGE FX2 ARCHITECTURE INCREASING DENSITY AND SIMPLIFYING SETUP WITH INTEL PROCESSOR-POWERED DELL POWEREDGE FX2 ARCHITECTURE As your business grows, it faces the challenge of deploying, operating, powering, and maintaining an

More information

Sistemi ICT per il Business Networking

Sistemi ICT per il Business Networking Corso di Laurea Specialistica Ingegneria Gestionale Sistemi ICT per il Business Networking B2B Integration Docente: Vito Morreale (vito.morreale@eng.it) 1 B2B Interactions Businesses are constantly searching

More information

Microsoft Exchange Server 2010 workload optimization on the new IBM PureFlex System

Microsoft Exchange Server 2010 workload optimization on the new IBM PureFlex System Microsoft Exchange Server 2010 workload optimization on the new IBM PureFlex System Best practices Roland Mueller IBM Systems and Technology Group ISV Enablement April 2012 Copyright IBM Corporation, 2012

More information

IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems

IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems Hongtao Zhang, hongtao@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Xiaoqing Dong, dongxiaoqing82@huawei.com Geoff Zhang, geoffz@xilinx.com Outline

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Highly Accurate, Record/ Playback of Digitized Signal Data Serves a Variety of Applications

Highly Accurate, Record/ Playback of Digitized Signal Data Serves a Variety of Applications New Wave Design and Verification Highly Accurate, Record/ Playback of Digitized Signal Data Serves a Variety of Applications Using FPGA-based filtering, precision timestamping and packetinspection, a powerful

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Lenovo Data Center Group. Define a different future

Lenovo Data Center Group. Define a different future Lenovo Data Center Group Define a different future Think change is hard? We don t. Concerned about the risks and complexities of transformation We see new ways to seize opportunity. Wrestling with inflexible

More information

HPE ProLiant DL580 Gen10 Server

HPE ProLiant DL580 Gen10 Server Digital data sheet HPE ProLiant DL580 Gen10 Server ProLiant DL Servers What's new Support for the new Intel Xeon Scalable Gold 6143 and Intel Xeon Scalable Platinum 8165 processors which support core boosting

More information

PCB insertion loss test system

PCB insertion loss test system PCB insertion loss test system Accurate measurement of transmission line insertion loss for multi-ghz PCB fabrication Si - for SPP Si - for Delta-L Si - for SET2DIL Ensures accurate insertion loss measurement

More information

Cloud Computing: Making the Right Choice for Your Organization

Cloud Computing: Making the Right Choice for Your Organization Cloud Computing: Making the Right Choice for Your Organization A decade ago, cloud computing was on the leading edge. Now, 95 percent of businesses use cloud technology, and Gartner says that by 2020,

More information

AXIEM EM Simulation/Verification of a Cadence Allegro PCB

AXIEM EM Simulation/Verification of a Cadence Allegro PCB Application Example AXIEM EM Simulation/Verification of a Cadence Allegro PCB Overview This application example outlines the electromagnetic (EM) simulation and verification flow that exists between Cadence

More information

SCALING UP VS. SCALING OUT IN A QLIKVIEW ENVIRONMENT

SCALING UP VS. SCALING OUT IN A QLIKVIEW ENVIRONMENT SCALING UP VS. SCALING OUT IN A QLIKVIEW ENVIRONMENT QlikView Technical Brief February 2012 qlikview.com Introduction When it comes to the enterprise Business Discovery environments, the ability of the

More information

Pay TV solution from ADB

Pay TV solution from ADB Pay TV solution from ADB Complete solution for broadcast and broadband environment Integrated with personalised recommendations Consistent content discovery across multiple devices Entire functionality

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Micron Quad-Level Cell Technology Brings Affordable Solid State Storage to More Applications

Micron Quad-Level Cell Technology Brings Affordable Solid State Storage to More Applications Micron Quad-Level Cell Technology Brings Affordable Solid State Storage to More Applications QLC Empowers Immense, Read-Focused Workloads Overview For years, read-focused workloads were relegated to legacy

More information

THE ACUCOBOL AND RM/COBOL ROADMAP WHAT S NEXT?

THE ACUCOBOL AND RM/COBOL ROADMAP WHAT S NEXT? Leading the Evolution WHITE PAPER THE ACUCOBOL AND RM/COBOL ROADMAP WHAT S NEXT? This document outlines the future direction and options available for users of ACUCOBOL and RM/COBOL and provides an overview

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

DATA CENTER TRANSFORMATION: THE IMPACT OF EMERGING POWER ARCHITECTURES ON TODAY S DATA CENTERS

DATA CENTER TRANSFORMATION: THE IMPACT OF EMERGING POWER ARCHITECTURES ON TODAY S DATA CENTERS DATA CENTER TRANSFORMATION: THE IMPACT OF EMERIN POWER ARCHITECTRES ON TODAY S DATA CENTERS TWO STAE POWER DISTRIBTION Entering the Cloud eneration The unprecedented capacity requirements of large social

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

How to Evaluate a Next Generation Mobile Platform

How to Evaluate a Next Generation Mobile Platform How to Evaluate a Next Generation Mobile Platform appcelerator.com Introduction Enterprises know that mobility presents an unprecedented opportunity to transform businesses and build towards competitive

More information

Fundamental Shift: A LOOK INSIDE THE RISING ROLE OF IT IN PHYSICAL ACCESS CONTROL

Fundamental Shift: A LOOK INSIDE THE RISING ROLE OF IT IN PHYSICAL ACCESS CONTROL Fundamental Shift: A LOOK INSIDE THE RISING ROLE OF IT IN PHYSICAL ACCESS CONTROL Shifting budgets and responsibilities require IT and physical security teams to consider fundamental change in day-to-day

More information