Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof.

Size: px
Start display at page:

Download "Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof."

Transcription

1 Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Virtuoso 1. Copy the following files into your working directory. cds.lib display.drf lib.defs.cdsinit (Make sure that the file name is ".cdsinit". If you copy this file from a windows machine, the file name will be "cdsinit".) calibredrc.rul mydesign.tar.gz You will also need the "test.gds2" file you generated during Innovus lab. 2. Type the following commands to source the designated files. source /tools/cadence/ic616/cshrc.64ggbit source /tools/mentor/calibre/meta.cshrc 3. Open cds.lib and replace 'your_working_directory' by your working directory as follows. (Type the FULL directory name. Leave mydesign untouched at the end.) DEFINE mydesign /your_working_directory/mydesign 4. Open lib.defs and replace 'your_working_directory' by your working directory as follows. (Type the FULL directory name. Leave mydesign untouched at the end.) DEFINE mydesign /your_working_directory/mydesign 5. Uncompress mydesign.tar.gz in your working directory. tar xvfz mydesign.tar.gz 6. Run Cadence Virtuoso by typing 'virtuoso'. Page 1 of 12

2 II. Generation of Final Layouts 1. Import Your Design A. Virtuoso main window looks as follows. B. Choose 'File' -> 'Import' -> 'Stream...', then 'Virtuoso(R) XStream In' window will appear as follows. C. Choose a GDS2 file in 'Stream File'. (test.gds2 from Innovus lab) D. Choose mydesign in 'Library'. E. Choose your design name in 'Top Level Cell'. The design name is what you typed in 'GDS Structure Name' in Innovus. (In Innovus lab, it was test ) Page 2 of 12

3 F. The window should look like the following. G. Click 'Translate'. There must not be any error during translation. H. If you meet warning messages as above, just click No. (you should have only 1 warning, no error) Page 3 of 12

4 I. Choose 'Tools' -> 'Library Manager...' in Virtuoso main window then Library Manager window will appear as follows. J. Choose 'mydesign' in Library column. K. Choose your design in Cell column. L. Double-click 'layout' in View column. Page 4 of 12

5 M. Then a layout window will be shown as follows. N. Maximize the window and click 'Zoom to Fit' button in the toolbar. O. The current window shows standard cells and routed metals but you cannot see the details of standard cells. These abstract cells are called standard cell instances. To get a final layout, we need to load standard cells and replace standard cell instances by standard cell layouts. This is called 'flattening'. P. Choose 'Edit' -> 'Select' -> 'Select All' then all instances will be selected. Page 5 of 12

6 Q. Choose 'Edit' -> 'Hierarchy' -> 'Flatten...' and click 'ok' in 'Flatten' window with the default settings. Clicking empty space in the design will cancel the selection. R. The above snapshot was captured after flattening. S. Choose 'File' -> 'Save' to save your flattened design. T. Compare this layout with your encounter layout. Do those look similar? 2. How to Capture Screenshots Choose 'File' -> 'Export Image...' in the layout editor. Supported formats are bmp, jpg, png, and so on. 3. How to View Specific Metal Layers and Via A. On the left of your screen, there is Layers tab. In here you can control visibility of each layers. a) If you click 'NV', only the selected layer will be shown. b) If you click 'AV', all layers will be shown. c) Click a specific layer with your center mouse button. This will toggle the visibility of the layer. Page 6 of 12

7 d) After you toggle visibilities, you have to re-draw the layout to apply the changed visibilities. (Choose 'View' -> 'Redraw', or click 'Zoom to Fit' button in the toolbar) e) Choose 'Tools' -> 'Display Resource Manager' in Virtuoso window. When 'Display Resource Tool Box appears, click Edit, and Display Resource Editor windows appears. Choose any metal layer, change 'Fill Color' and 'Outline Color', and click 'Apply'. Redraw the layout to see if the new color was applied well. Page 7 of 12

8 B. Here is the metal layer mapping. You will need this in 'Lab Problem : Generation of final layouts and DRC' section. L49 - metal 1 L51 - metal 2 L62 - metal 3 L31 - metal 4 L33 - metal 5 L37 - metal 6 L39 - metal 7 L41 - metal 8 L43 - metal 9 L45 - metal 10 Actually each metal layer has two different names. For example, metal 1 layer has two names - 'metal 1' and 'L49'. Page 8 of 12

9 III. Design Rule Checking (DRC) 1. After flattening, choose 'Calibre' -> 'Run DRC'. Click 'Cancel' in 'Load Runset File' window. Just click Cancel. Page 9 of 12

10 2. Click 'Rules' tab, and choose 'calibredrc.rul' for DRC Rules File Field in the 'Calibre Interactive' box. Page 10 of 12

11 3. Click Inputs tab, and choose test.gds2 file for File field in Layout sub-tab. 4. Run DRC by clicking 'Run DRC' button. And two pop-up windows will be appear immediately. Click OK and Yes, and DRC will take a few minutes. Page 11 of 12

12 5. When DRC is finished, look at the following window to check the number of DRC violations. 6. A check box will be red if it has any DRC violation. 7. Summary of DRC would be stored in *.drc.results and *.drc.summary in your working directory. Page 12 of 12

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

DRC and LVS checks using Cadence Virtuoso Version 2.0

DRC and LVS checks using Cadence Virtuoso Version 2.0 DRC and LVS checks using Cadence Virtuoso Version 2.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Cadence Virtuoso Layout Connectivity Mark- Net Tutorial Digital VLSI Chip Design CAD manual addendum When you re drawing layout, especially of a complex cell, it s sometimes hard to see exactly what your

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Setting up the IBM 65nm libraries in Cadence 6.1

Setting up the IBM 65nm libraries in Cadence 6.1 Setting up the IBM 65nm libraries in Cadence 6.1 Preeti Mulage v1 (Jan, 2010) 1. Setting up Cadence 6.1 and Spectre MMSIM 7.1 a. You need to incorporate these lines in order to bring up the latest 6.1

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0. Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version 6.0 Overview This tutorial will take you through the

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Another view of the standard cells called the abstract view needs to generated

Another view of the standard cells called the abstract view needs to generated Abstract Generation Place and route tools do not require the full cell layout Another view of the standard cells called the abstract view needs to generated The abstract view provides information like:

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

Usage Guide of Calibre on DRC check

Usage Guide of Calibre on DRC check Usage Guide of Calibre on DRC check Zheng Huan Qun November 2006 The guide shows the flow of DRC check with the tool Calibre of Mentor Graphics. The design kits used in the guide is AMS kits. The method

More information

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017

ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 ECE 425: Introduction to VLSI System Design Machine Problem 0 Due: Friday 11:59pm, Sep. 15th, 2017 You will spend most of your lab time using the Virtuoso design tools from Cadence Design Systems. Virtuoso

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

Lesson 19: Processing a Hierarchical Design

Lesson 19: Processing a Hierarchical Design Lesson 19: Processing a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Annotate a hierarchical design Perform a Design Rule Check on a hierarchical design Correct

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules. Page 1 of 5 CADENCE TUTORIAL Creating Layout of an inverter: click on File->library->new a Create Library form appears, fill it as follows: in the name field enter: inverter select "Attach to existing

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

How to do a Property Search

How to do a Property Search How to do a Property Search A Self-Tutorial GIS Services 1401 Marina Way South Richmond, CA 94804 Tel: (510) 621-1298 Fax: (510) 307-8116 1. Navigate to the GIS Mapping Services page. 2. The mapping services

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Developer s Tip Print to Scale Feature in Slide

Developer s Tip Print to Scale Feature in Slide Developer s Tip Print to Scale Feature in Slide The latest update to Slide 5.0 brings a number of improvements related to printing functionality, giving the user greater control over printed output. Users

More information

Lesson 5: Creating Heterogeneous Parts

Lesson 5: Creating Heterogeneous Parts Lesson 5: Creating Heterogeneous Parts Lesson Objectives After you complete this lesson you will be able to: Create a Heterogeneous part Annotate a Heterogeneous part (Optional) Heterogeneous Parts A heterogeneous

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Lesson 9: Processing a Schematic Design

Lesson 9: Processing a Schematic Design Lesson 9: Processing a Schematic Design Lesson Objectives After you complete this lab you will be able to: Assign reference designators Check the design for errors Create a netlist for OrCAD and Allegro

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Introduction to Innovus

Introduction to Innovus Introduction to Innovus Courtesy of Dr. Dae Hyun Kim@WSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction to Innovus Innovus was called Innovus before v15 Standard Placement and Routing

More information

Lesson 14: Property Editor

Lesson 14: Property Editor Lesson 14: Property Editor Lesson Objectives After completing this lesson, you will be able to: Work with Property Filters in the Property Editor Add part and net properties using the Property Editor Using

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Trace Analysis Step-by-Step Guide

Trace Analysis Step-by-Step Guide CONTENTS Contents... 1 Overview... 2 Key Takeaways... 2 Trace Analysis UI... 3 Folder Explorer... 4 Traceability section... 5 Mini Toolbar... 6 State Property Legend... 6 Work Item Type Legend... 6 Relation

More information

Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II:

Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II: Virtuoso Schematic Composer VHDL Interface. VHDL In for Design Framework II: VHDL In for Design Framework II can convert a VHDL structural or behavioral description into one of three forms in Cadence database

More information

v Introduction to WMS Become familiar with the WMS interface WMS Tutorials Time minutes Prerequisite Tutorials None

v Introduction to WMS Become familiar with the WMS interface WMS Tutorials Time minutes Prerequisite Tutorials None s v. 10.0 WMS 10.0 Tutorial Become familiar with the WMS interface Objectives Read files into WMS and change modules and display options to become familiar with the WMS interface. Prerequisite Tutorials

More information

Lesson 18: Creating a Hierarchical Block

Lesson 18: Creating a Hierarchical Block Lesson 18: Creating a Hierarchical Block Lesson Objectives After you complete this lesson you will be able to: Create hierarchical blocks Copying Schematics between Projects You can copy and paste between

More information

Index. How to look for images... 3 How to add the images to your cart... 4 How to download and edit your images Amadeus Image Bank.

Index. How to look for images... 3 How to add the images to your cart... 4 How to download and edit your images Amadeus Image Bank. Index How to look for images... 3 How to add the images to your cart... 4 How to download and edit your images... 5 How to look for images To start looking for your images please go to the search box in

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS Technology Developed by Ted Obuchowicz VLSI/CAD Specialist, Dept. of Electrical and Computer Engineering Concordia

More information

Authoring Tool - Authoring steps

Authoring Tool - Authoring steps Authoring Tool - Authoring steps Import of Media via Media Import Tool Importing media Authoring of media via the Authoring Tool Authoring Threads Transform Threads to HTML or export it to another Authroing

More information

FLATTERY TO PRINT TUTORIAL

FLATTERY TO PRINT TUTORIAL FLATTERY TO PRINT TUTORIAL 1. With building shell completely unfolded and flat, select all pieces to print 2. Move flattened pattern to unoccupied area of model space 3. Turn off perspective: Camera >

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Text box. Command button. 1. Click the tool for the control you choose to draw in this case, the text box.

Text box. Command button. 1. Click the tool for the control you choose to draw in this case, the text box. Visual Basic Concepts Hello, Visual Basic See Also There are three main steps to creating an application in Visual Basic: 1. Create the interface. 2. Set properties. 3. Write code. To see how this is done,

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

Acrobat 6.0 Standard - Basic Tasks

Acrobat 6.0 Standard - Basic Tasks Converting Office Documents to PDF 1. Create and edit document in Office application (Word, Excel, PowerPoint) 2. Click the Convert to PDF button on the Acrobat toolbar If the buttons are not visible,

More information

Exercise 7: Graphics and drawings in Linux

Exercise 7: Graphics and drawings in Linux Exercise 7: Graphics and drawings in Linux Hanne Munkholm IT University of Copenhagen August 11, 2004 In this exercise, we will learn the basic use of two image manipulation programs: The GIMP

More information

LAB EXERCISE 3B EM Techniques (Momentum)

LAB EXERCISE 3B EM Techniques (Momentum) ADS 2012 EM Basics (v2 April 2013) LAB EXERCISE 3B EM Techniques (Momentum) Topics: EM options for meshing and the preprocessor, and using EM to simulate an inductor and use the model in schematic. Audience:

More information

Creating and Displaying Multi-Layered Cross Sections in Surfer 11

Creating and Displaying Multi-Layered Cross Sections in Surfer 11 Creating and Displaying Multi-Layered Cross Sections in Surfer 11 The ability to create a profile in Surfer has always been a powerful tool that many users take advantage of. The ability to combine profiles

More information

Guide to KI-ELN, downloaded/remote desktop client

Guide to KI-ELN, downloaded/remote desktop client Guide to KI-ELN, downloaded/remote desktop client Conventions used in this tutorial Bold a button Underline selection from a menu Italics Right mouse-click menu item You can access the system in three

More information

Using the ispxpga Floorplanner

Using the ispxpga Floorplanner Using the ispxpga Floorplanner Table of Contents USING THE ISPXPGA FLOORPLANNER...3 Task 1: Open the Design...4 Task 2: Open a Floorplanner Design File...5 Task 3: Tour the Graphical User Interface - The

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Lab 6: Transforming Spatial Data

Lab 6: Transforming Spatial Data Lab 6: Transforming Spatial Data Objectives: The primary objectives of this lab are to georeference a raster dataset and to create GIS data by digitizing features from an image as a backdrop. Specifics:

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

GeneMarker HID Quick Start

GeneMarker HID Quick Start GeneMarker HID Quick Start Guide Upload Data Run Wizard Size Call Quality Review Edit Panel Compare & Analyze Save & Print Reports SoftGenetics Relationship Testing Start Your Project Open Data Open Data

More information

Layers. About PDF layers. Show or hide layers

Layers. About PDF layers. Show or hide layers 1 Layers About PDF layers You can view, navigate, and print layered content in PDFs created from applications such as InDesign, AutoCAD, and Visio. You can control the display of layers using the default

More information

DWG FastView for Web. User Guide

DWG FastView for Web. User Guide DWG FastView for Web User Guide Contents 1. Software installation and start-up... 1 1.1 Software installation... 1 1.2 Software start-up... 1 2.Operation interface and functions... 3 2.1 Operation interface...

More information

What is a Dashboard visualisation? (Speed dial and traffic light)

What is a Dashboard visualisation? (Speed dial and traffic light) What is a Dashboard visualisation? (Speed dial and traffic light) A dashboard consists of a number of graphs, plots and tables that are generally financial and reproduce information or key performance

More information

ELEC 301 Lab 2: Cadence Basic

ELEC 301 Lab 2: Cadence Basic ELEC 301 Lab 2: Cadence Basic Revision: 2.1 Last modified: Aug. 98 Introduction In this class, you will be introduced to the Cadence suit of IC design tools. These tools are a very powerful set of tools.

More information

Crystal InterVision Library Editor. Quick Start Guide. Version June Copyright 2006 Crystal InterActive Technologies

Crystal InterVision Library Editor. Quick Start Guide. Version June Copyright 2006 Crystal InterActive Technologies Crystal InterVision Library Editor Quick Start Guide Version 2.5.0.2 June 2006 Copyright 2006 Crystal InterActive Technologies Content 0 Overview... 2 0.1 InterVision 3D Geometry Files... 2 0.2 InterVision

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Tutorial 01 Quick Start Tutorial

Tutorial 01 Quick Start Tutorial Tutorial 01 Quick Start Tutorial Homogeneous single material slope No water pressure (dry) Circular slip surface search (Grid Search) Intro to multi scenario modeling Introduction Model This quick start

More information

SPATIAL DESIGN NETWORK ANALYSIS sdna in QGIS

SPATIAL DESIGN NETWORK ANALYSIS sdna in QGIS SPATIAL DESIGN NETWORK ANALYSIS sdna in QGIS 1 Installing sdna+ as plugin for QGIS... 2 1.1 Download & Install sdna... 2 1.2 Setting up sdna in QGIS... 3 1.3 Open the sdna toolbox... 5 2 sdna in QGIS Processing

More information

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: October 10, 2011 Due: October 19, 2011, 4PM Reading: Rabaey Insert

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

SmartView. User Guide - Analysis. Version 2.0

SmartView. User Guide - Analysis. Version 2.0 SmartView User Guide - Analysis Version 2.0 Table of Contents Page i Table of Contents Table Of Contents I Introduction 1 Dashboard Layouts 2 Dashboard Mode 2 Story Mode 3 Dashboard Controls 4 Dashboards

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

In order to follow this set of directions you will need the following

In order to follow this set of directions you will need the following How to Create Maps & Figures in ArcView 9x In order to follow this set of directions you will need the following 1) the Jenny s Louisiana GIS Instructional CD 2) a computer with ArcGIS on it (version 9.0

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information