3DIC and the Hybrid Memory Cube

Size: px
Start display at page:

Download "3DIC and the Hybrid Memory Cube"

Transcription

1 3DIC and the Hybrid Memory Cube Dean Klein Micron Technology, Inc Micron Technology, Inc. All rights reserved. Products are warranted only to meet Micron s production data sheet specifications. Information, products, and/or specifications are subject to change without notice. All information is provided on an AS IS basis without warranties of any kind. Dates are estimates only. Drawings are not to scale. Micron and the Micron logo are trademarks of Micron Technology, Inc. All other trademarks are the property of their respective owners Micron Technology, Inc. 1

2 The Need: Break Down the Memory Wall 4 Channel 3 Channel 2 Channel 2012 Micron Technology, Inc. 2

3 Reducing System Cost LGA Channel 3 Channel Socket Channel 2012 Micron Technology, Inc. 3

4 Managing System Complexity DDR 85 page specification 1 page of AC timing params 3 speed bins Standardization Time: < 3 yrs years 2012 Micron Technology, Inc. 4

5 Managing System Complexity DDR4 214 page specification 9 pages of AC timing params 12 speed bins for BOL (to 2400) DDR Standardized Time: >6 years and going 85 page specification 1 page of AC timing params 3 speed bins Standardization Time: < 3 yrs years 2012 Micron Technology, Inc. 5

6 Hybrid Memory Cube (HMC) Fast process logic and advanced DRAM design in one optimized package Power Efficient Smaller Footprint Increased Bandwidth Reduced Latency Lower TCO 6

7 Enabling Technologies Abstracted Memory Management Through-Silicon Via (TSV) Assembly Memory Vaults Versus DRAM Arrays Significantly improved bandwidth, quality and reliability versus traditional DRAM technologies Logic Base Controller Reduced memory complexity and significantly increased performance Allows memory to scale with CPU performance Innovative Design & Process Flow Incorporation of thousands of TSV sites per die reduces signal lengths and reduces power Enables memory scalability through parallelism Sophisticated Package Assembly Higher component density and significantly improved signal integrity 2012 Micron Technology, Inc. 7

8 HMC Architecture Start with a clean slate DRAM 8

9 HMC Architecture Re-partition the DRAM and strip away the common logic DRAM 9

10 HMC Architecture Stack multiple DRAMs DRAM 10

11 HMC Architecture Re-insert common logic on to the Logic Base die 3DI & TSV Technology DRAM7 DRAM6 DRAM5 DRAM4 DRAM3 DRAM2 DRAM1 DRAM0 Logic Chip Vault DRAM Logic Base 11

12 Request Write Data Logic Base Vault Control Vault Control Vault Control Memory Control Crossbar Switch HMC Architecture Memory Control Refresh Controller DRAM Sequencer Vault Control Write Buffer Crossbar Switch Read Buffer Read Data TSV Repair DRAM Repair Link Interface Controller Link Interface Controller Processor Links Link Interface Controller Logic Base Multiple high-speed local buses for data movement Advanced memory controller functions DRAM control at memory rather than distant host controller Reduced memory controller complexity and increased efficiency DRAM Link Interface Controller Detail of memory interface Vault 3DI & TSV Technology DRAM7 DRAM6 DRAM5 DRAM4 DRAM3 DRAM2 DRAM1 DRAM0 Logic Chip Vaults are managed to maximize overall device availability Optimized management of energy and refresh Self test, error detection, correction, and repair in the logic base layer Logic Base 12

13 HMC Architecture Link Controller Interface HMC-SR Options: 10 Gpbs, 12.5 Gbps or 15 Gbps HMC Host Example: 8 or 16 Transmit Lanes TX 16 Lanes RX RX 16 Lanes TX 8 or 16 Receive Lanes 13

14 TSV TSV TSV TSV TSV TSV TSV TSV Host Processor Memory Management Manufacturing Test Burn-in At-speed Functional Manage field maintenance and self test Manage 100+ different DRAM timing parameters Manage all present and future DRAM scaling and process variation issues DRAM Layer HOST Si Interposer DRAM Layer DRAM Layer DRAM Layer Re-drive Layer Non-managed DRAM (WIO, HBM, etc.) 14

15 TSV TSV TSV TSV TSV TSV TSV TSV Host Processor Memory Management Simple memory requests and responses. No DRAM timing to manage Functions moved to HMC for management Manufacturing Test Burn-in At-speed Functional Manage field maintenance and self test Manage 100+ different DRAM timing parameters Manage all present and future DRAM scaling and process variation issues DRAM Layer HOST Si Interposer DRAM Layer DRAM Layer DRAM Layer Re-drive Layer Non-managed DRAM (WIO, HBM, etc.) 15

16 The Package Up to 1.28 Tbps Memory Bandwidth! Standard BGA Packaging Solutions: Cost Effective Packaging using existing Ecosystems 16

17 HMC Near Memory All links between host CPU and HMC logic layer Maximum bandwidth per GB capacity HPC/Server CPU/GPU Graphics Networking systems Test equipment 17

18 HMC Far Memory Far memory Some HMC links connect to host, some to other cubes Scalable to meet system requirements Can be in module form or soldereddown Future interfaces may include Higher speed electrical (SERDES) Optical Whatever the most appropriate interface for the job! 18

19 HMC Reliability Built-In RAS features at a high level... Logic Stability (DRAM controls in logic) DRAM Array Logic / Interface Reliable handshake (packet integrity verified before memory access) DRAM Array DRAM Array Vault Data ECC protected Logic / Interface Host Logic / Interface Link Retry CRC Protection on Link Interface Logic / Interface DRAM Array Address / Command Parity for Array transactions 19

20 RAS Feature System Comparison FEATURE DRAM RDIMM HMC Extensive Test Flow Data ECC Address/Command Parity Mirroring (back-up memory) Sparing (Chipkill) Lockstep (redundancy w/better ECC) CRC Coding Self Repair BIST Error Status and Debug Registers DIMM Isolation (flags faulty DIMM) Memory Scrubbing Supported Redundant or not needed 20

21 Technology Comparison (Extreme Performance) What does it take to support 1.28TB/s of performance? Comparison of HMC to DDR3L-1600 and DDR Active Signals DDR3 requires ~14,300 DDR4 requires ~7,400 HMC only needs ~2,160, HMC is ~85% less than DDR3 $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ $ Operating Power (including CPU s) DDR3 system requires ~2.25KW DDR4 system requires ~1.23KW HMC system only needs ~350W, HMC is ~72% less than DDR4 Board Space DDR3 requires ~165,000 sq mm DDR4 requires ~82,500 sq mm HMC only needs ~8,712 sq mm, HMC is ~90% less than DDR4 Assumptions: 1DPC, (SR x4) RDIMMs, 6.2W/channel for 12.8GB/s, 8.4W/channel for 25.6GB/s 5W per Link for 160GB/s, 143 pins/channel for DDR3, 148 pins for DDR4, 270 per HMC, RDIMM area equals 10mm pitch x 165mm long, HMC w/keep outs equal 1089 sq mm, CPU for RDIMMS = 65W, CPU for HMC = 95W, each CPU supports up to 4 channels. 21

22 Technology Comparison (Single Link) What does it take to support 60GB/s of performance? Comparison of HMC to DDR3L-1600 and DDR DDR4 DDR4 DDR4 HMC DDR3 DDR3 DDR3 DDR4 Channels DDR3 requires 5 channels DDR4 requires 3 channels HMC only needs 1 Link Board Area DDR3 requires ~7,734 sq mm DDR4 requires ~3,843 sq mm HMC only needs ~1,089 sq mm Active Pins DDR3 requires 670 pins DDR4 requires 345 pins HMC only needs 72 pins BW/pin DDR3 ~90MB/pin DDR4 ~174MB/pin HMC ~833MB/pin Assumptions: Same as previous example of 1.28TB/s Bandwidth 22

23 Packet Buffer Memory Subsystem Comparison Switch Fabric Packet Buffer Requirements & Assumptions 4 100GbE ports per Network Processor / Traffic Manager Packet buffering on ingress or egress Maintain 800Gbps effective bandwidth across all packet sizes at each packet buffer Queue Mgmt Stats Table Lookups 100GbE 100GbE 100GbE Network Processor / Traffic Manager 100GbE Ingress or Egress Packet Buffer 2012 Micron Technology, Inc. 23

24 400Gbps Packet Buffer Comparison Network Processor Network Processor All devices drawn to scale Parameter DDR x16 4 HMC-15G-SR Links # of Memory Devices Total # of Pins Power: Host PHY+ Memory Memory Surface Area W 33W 6048mm 2 961mm 2 Host PHY Silicon 1.75x 1x Surface Area 1 1. Relative sizes represented HMC System Level Savings 85% fewer pins 41% lower power 84% smaller memory footprint 75% smaller host PHY 2012 Micron Technology, Inc. 24

25 Broad Adoption & Momentum Specification released April 2013 Over 110 Over Adopters 120 Adopters! to date! Accel, Ltd Fujitsu Limited Luxtera Inc. Pico Computing ADATA Technology Co., LTD Galaxy Computer System Co., Ltd. Marvell Renesas Electronics Corporation AIRBUS GDA Technologies Mattozetta Technologies Science & Technology Innovations Altior GLOBALFOUNDRIES Maxeler Technologies Ltd. SEAKR Engineering APIC Corporation GraphStream Incorporated MediaTek ST Microelectronics Arira Design HGST, a Western Digital Company Memoir Systems Inc. Suitcase TV Ltd Arnold&Richter Cine Technik HiSilicon Technologies Co., Ltd Mentor Graphics Tabula Atria Logic, Inc. HOY Technologies Miranda Tech-Trek BroadPak Huawei Technologies Mobiveil, Inc. Teradyne, Inc Cadence Design Systems, Inc. Infinera Corporation Montage Technology, Inc. The Regents of the University of California Convey Computer Corporation Information Sciences Institute USC Napatech A/S Tilera Corporation Cray Inc. Inphi National Instruments Tongji University DAVE Srl ISI/Nallatech NEC corpration T-Platforms Design Magnitude Inc. Israel Institute of Technology Netronome TU Kaiserslautern Dream Chip Technologies GmbH Juniper Networks New Global Technology UC, Irvine Engineering Physics Center of MSU Kool Chip Northwest Logic UMC esilicon Corporation Korea Advanced Institute of Science Obsidian Research University of Heidelberg ZITI Exablade Corporation Lawrence Livermore National Laboratory OmniPhy University of Rochester Ezchip Semiconductor LeCroy Corporation Oregon Synthesis Winbond Electronics Corporation FormFactor Inc. LogicLink Design, Inc. Perfcraft Woodward McCoach, Inc. ZTE Corporation 25

26 A Robust Ecosystem OEM s Enablers Tools 26

27 Industry Validation like adding a turbocharger to your computer - datacenteracceleration.com wicked fast - gigaom.com unprecedented levels of memory performance - Electronic News a complete paradigm shift - extremetech.com EE Times 40 th Anniversary: one of the top ten technologies expected to redefine the industry an entirely new category of memory - Tom s Hardware 27

28 HMC 3DIC The Bottom Line Improved costs at a system and TCO level When the need exists, the ecosystem develops. There are no competing technologies 28

29 HMC 3DIC The Bottom Line Improved costs at a system and TCO level When the need exists, the ecosystem develops. There are no competing technologies There are no universal solutions 29

30

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

1 Copyright 2013 Oracle and/or its affiliates. All rights reserved.

1 Copyright 2013 Oracle and/or its affiliates. All rights reserved. 1 Copyright 2013 Oracle and/or its affiliates. All rights reserved. Bixby: the Scalability and Coherence Directory ASIC in Oracle's Highly Scalable Enterprise Systems Thomas Wicki and Jürgen Schulz Senior

More information

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

Introduction. SK hynix

Introduction. SK hynix It was very informative. I had a lot of questions answered. It was a good assembly of design and manufacturing elements. I learned a lot that I didn t know. It s good to hear that TSVs are ready for HBM.

More information

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Future Memories. Jim Handy OBJECTIVE ANALYSIS Future Memories Jim Handy OBJECTIVE ANALYSIS Hitting a Brick Wall OBJECTIVE ANALYSIS www.objective-analysis.com Panelists Michael Miller VP Technology, Innovation & Systems Applications MoSys Christophe

More information

Green Memory Solution. Jung-Bae Lee

Green Memory Solution. Jung-Bae Lee Green Memory Solution Jung-Bae Lee Contents Introduction Data Explosion and Data Center Expansion Data Center Issues: Power & Performance Samsung Green Memory Solution History of Green Memory Memory &

More information

Serial Memories Fill a Need. Memcon 2015

Serial Memories Fill a Need. Memcon 2015 Serial Memories Fill a Need Memcon 2015 Agenda v Michael Sporer Director of Marketing The future of parallel versus serial interface for memory v Mark Baumann Director of Applications Engineering Based

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems. Direct Connection and Testing of TSV and Microbump Devices using NanoPierce Contactor for 3D-IC Integration There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous

More information

Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge

Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge white paper Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge The Intel Stratix 10 MX family helps customers efficiently meet their most demanding memory bandwidth requirements. Authors

More information

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Stacking Untested Wafers to Improve Yield. The 3D Enigma Stacking Untested Wafers to Improve Yield or 3D: Where the Timid Go to Die The 3D Enigma The Promise High Performance Low Power Improved Density More than Moore or at least as much as Moore The Reality

More information

Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance

Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance Dell PowerEdge 11 th Generation Servers: R810, R910, and M910 Memory Guidance A Dell Technical White Paper Dell Product Group Armando Acosta and James Pledge THIS WHITE PAPER IS FOR INFORMATIONAL PURPOSES

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot Sort vs. Hash Join Revisited for Near-Memory Execution Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot 1 Near-Memory Processing (NMP) Emerging technology Stacked memory: A logic die w/ a stack

More information

Start Your HBM/2.5D Design Today

Start Your HBM/2.5D Design Today Kevin Tran SK hynix Inc. Paul Silvestri Amkor Technology, Inc. Bill Isaacson esilicon Corporation Brian Daellenbach Northwest Logic Chris Browy Avery Design Systems Executive Summary High-bandwidth memory

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer

Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, Dennis Abts Sr. Principal Engineer Challenges for Future Interconnection Networks Hot Interconnects Panel August 24, 2006 Sr. Principal Engineer Panel Questions How do we build scalable networks that balance power, reliability and performance

More information

A 400Gbps Multi-Core Network Processor

A 400Gbps Multi-Core Network Processor A 400Gbps Multi-Core Network Processor James Markevitch, Srinivasa Malladi Cisco Systems August 22, 2017 Legal THE INFORMATION HEREIN IS PROVIDED ON AN AS IS BASIS, WITHOUT ANY WARRANTIES OR REPRESENTATIONS,

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information

Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014

Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014 Understanding DDR4 and Today s DRAM Frontier Oct 15 th 2014 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 2/32 DRAM Market &

More information

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation

White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation White paper FUJITSU Supercomputer PRIMEHPC FX100 Evolution to the Next Generation Next Generation Technical Computing Unit Fujitsu Limited Contents FUJITSU Supercomputer PRIMEHPC FX100 System Overview

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Cisco Nexus 9508 Switch Power and Performance

Cisco Nexus 9508 Switch Power and Performance White Paper Cisco Nexus 9508 Switch Power and Performance The Cisco Nexus 9508 brings together data center switching power efficiency and forwarding performance in a high-density 40 Gigabit Ethernet form

More information

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech

DRAM Memory Modules Overview & Future Outlook. Bill Gervasi Vice President, DRAM Technology SimpleTech DRAM Memory Modules Overview & Future Outlook Bill Gervasi Vice President, DRAM Technology SimpleTech bilge@simpletech.com Many Applications, Many Configurations 2 Module Configurations DDR1 DDR2 Registered

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

Accelerating the Mass Transition of 100 GbE in the Data Center. Siddharth Sheth, Vice President of Marketing, High-Speed Connectivity

Accelerating the Mass Transition of 100 GbE in the Data Center. Siddharth Sheth, Vice President of Marketing, High-Speed Connectivity Accelerating the Mass Transition of 100 GbE in the Data Center Siddharth Sheth, Vice President of Marketing, High-Speed Connectivity Inphi: Solving Bandwidth Bottlenecks High-speed analog semiconductor

More information

Extending the Benefits of GDDR Beyond Graphics

Extending the Benefits of GDDR Beyond Graphics Extending the Benefits of GDDR Beyond Graphics Micron High-Performance Graphics Memory Addresses the High-Bandwidth Demands of Networking Today and Tomorrow Overview Key Benefits of High- Performance Graphics

More information

DDR4 LRDIMMs Let You Have It All

DDR4 LRDIMMs Let You Have It All DDR4 LRDIMMs Let You Have It All LRDIMMs provide a superior alternative solution for both deeper memory and higher data bandwidth By Douglas Malech and Sameer Kuppahalli, IDT and Ryan Baxter and Eric Caward,

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Supercharge Your Applications with Samsung High Bandwidth Memory

Supercharge Your Applications with Samsung High Bandwidth Memory Supercharge Your Applications with Samsung High Bandwidth Memory How a technology ecosystem partnership is bringing TB/s memory transfer speeds to data intensive designs. Ecosystem Partners: Executive

More information

MOSAID Semiconductor

MOSAID Semiconductor MOSAID Semiconductor Fabr-IC (A Single-Chip Gigabit Ethernet Switch With Integrated Memory) @Hot Chips Dave Brown Chief Architect July 4, 2001 Fabr-IC Feature summary 2 Gig ports 1 gig port for stacking

More information

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level

Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Page 1 Fully-Buffered DIMM Technology Moves Enterprise Platforms to the Next Level Jon Haas FB-DIMM Initiative Manager Pete Vogt Principal Engineer Copyright 2005. *Third-party brands and names are the

More information

Density Optimized System Enabling Next-Gen Performance

Density Optimized System Enabling Next-Gen Performance Product brief High Performance Computing (HPC) and Hyper-Converged Infrastructure (HCI) Intel Server Board S2600BP Product Family Featuring the Intel Xeon Processor Scalable Family Density Optimized System

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

DDR3 Memory for Intel-based G6 Servers

DDR3 Memory for Intel-based G6 Servers DDR3 Memory for Intel-based G6 Servers March 2009 2009 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice. New memory technology with G6; DDR-3

More information

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B. Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.5) Memory Technologies Dynamic Random Access Memory (DRAM) Optimized

More information

Making Informed Memory Choices FTF-IND-F0378

Making Informed Memory Choices FTF-IND-F0378 Making Informed Memory Choices FTF-IND-F0378 Freescale Technology Forum Jim Cooke JCooke@micron.com Mike Kim MikeKim@micron.com April 10, 2014 2014 Micron Technology, Inc. All rights reserved. Products

More information

High Performance Memory Opportunities in 2.5D Network Flow Processors

High Performance Memory Opportunities in 2.5D Network Flow Processors High Performance Memory Opportunities in 2.5D Network Flow Processors Jay Seaton, VP Silicon Operations, Netronome Larry Zu, PhD, President, Sarcina Technology LLC August 6, 2013 2013 Netronome 1 Netronome

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Industry Collaboration and Innovation

Industry Collaboration and Innovation Industry Collaboration and Innovation Open Coherent Accelerator Processor Interface OpenCAPI TM - A New Standard for High Performance Memory, Acceleration and Networks Jeff Stuecheli April 10, 2017 What

More information

Memory as We Approach a New Horizon

Memory as We Approach a New Horizon Memory as We Approach a New Horizon Hot Chips 2016 Memory Tutorial J. Thomas Pawlowski, Chief Technologist, Fellow 2015 2016 Micron Technology, Inc. All rights reserved. Information, products, and/or specifications

More information

POWER9 Announcement. Martin Bušek IBM Server Solution Sales Specialist

POWER9 Announcement. Martin Bušek IBM Server Solution Sales Specialist POWER9 Announcement Martin Bušek IBM Server Solution Sales Specialist Announce Performance Launch GA 2/13 2/27 3/19 3/20 POWER9 is here!!! The new POWER9 processor ~1TB/s 1 st chip with PCIe4 4GHZ 2x Core

More information

DRAM Main Memory. Dual Inline Memory Module (DIMM)

DRAM Main Memory. Dual Inline Memory Module (DIMM) DRAM Main Memory Dual Inline Memory Module (DIMM) Memory Technology Main memory serves as input and output to I/O interfaces and the processor. DRAMs for main memory, SRAM for caches Metrics: Latency,

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

HPE Scalable Storage with Intel Enterprise Edition for Lustre*

HPE Scalable Storage with Intel Enterprise Edition for Lustre* HPE Scalable Storage with Intel Enterprise Edition for Lustre* HPE Scalable Storage with Intel Enterprise Edition For Lustre* High Performance Storage Solution Meets Demanding I/O requirements Performance

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends Architecture and Specification Trends Michael Abraham (mabraham@micron.com) Applications Engineering Manager Micron Technology, Inc. August 2009 1 Abstract As continues to shrink, page sizes, block sizes,

More information

ONFI 2.0 The Compatible NAND Flash Interface

ONFI 2.0 The Compatible NAND Flash Interface ONFI 2.0 The Compatible NAND Flash Interface Michael Abraham (mabraham@micron.com) Applications Engineering Manager Micron Technology, Inc. August 2008 1 Abstract ONFI 1.0 standardized the asynchronous

More information

Low Power & High Bandwidth Memory Trend

Low Power & High Bandwidth Memory Trend Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park] Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4

More information

Design, Verification and Emulation of an Island-Based Network Flow Processor

Design, Verification and Emulation of an Island-Based Network Flow Processor Design, Verification and Emulation of an Island-Based Network Flow Processor Ron Swartzentruber CDN Live April 5, 2016 1 2016 NETRONOME SYSTEMS, INC. Problem Statements 1) Design a large-scale 200Gbps

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

NVMe SSDs A New Benchmark for OLTP Performance

NVMe SSDs A New Benchmark for OLTP Performance A MICRON TECHNICAL BRIEF NVMe SSDs A New Benchmark for OLTP Performance OLTP Platforms Thrive on Fast, Consistent Results Delivered by NVMe SSDs Overview A recent DB-Engines ranking shows that Microsoft

More information

Addressing the Memory Wall

Addressing the Memory Wall Lecture 26: Addressing the Memory Wall Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Tunes Cage the Elephant Back Against the Wall (Cage the Elephant) This song is for the

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Lecture 15: DRAM Main Memory Systems. Today: DRAM basics and innovations (Section 2.3)

Lecture 15: DRAM Main Memory Systems. Today: DRAM basics and innovations (Section 2.3) Lecture 15: DRAM Main Memory Systems Today: DRAM basics and innovations (Section 2.3) 1 Memory Architecture Processor Memory Controller Address/Cmd Bank Row Buffer DIMM Data DIMM: a PCB with DRAM chips

More information

Boosting the Performance of FPGA-based Graph Processor using Hybrid Memory Cube: A Case for Breadth First Search

Boosting the Performance of FPGA-based Graph Processor using Hybrid Memory Cube: A Case for Breadth First Search Boosting the Performance of FPGA-based Graph Processor using Hybrid Memory Cube: A Case for Breadth First Search Jialiang Zhang, Soroosh Khoram and Jing Li 1 Outline Background Big graph analytics Hybrid

More information

New Interconnnects. Moderator: Andy Rudoff, SNIA NVM Programming Technical Work Group and Persistent Memory SW Architect, Intel

New Interconnnects. Moderator: Andy Rudoff, SNIA NVM Programming Technical Work Group and Persistent Memory SW Architect, Intel New Interconnnects Moderator: Andy Rudoff, SNIA NVM Programming Technical Work Group and Persistent Memory SW Architect, Intel CCIX: Seamless Data Movement for Accelerated Applications TM Millind Mittal

More information

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision At-A-Glance Unified Computing Realized Today, IT organizations assemble their data center environments from individual components.

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Efficient Data Movement in Modern SoC Designs Why It Matters

Efficient Data Movement in Modern SoC Designs Why It Matters WHITE PAPER Efficient Data Movement in Modern SoC Designs Why It Matters COPROCESSORS OFFLOAD AND ACCELERATE SPECIFIC WORKLOADS, HOWEVER DATA MOVEMENT EFFICIENCY ACROSS THE PROCESSING CORES AND MEMORY

More information

Enabling Technology for the Cloud and AI One Size Fits All?

Enabling Technology for the Cloud and AI One Size Fits All? Enabling Technology for the Cloud and AI One Size Fits All? Tim Horel Collaborate. Differentiate. Win. DIRECTOR, FIELD APPLICATIONS The Growing Cloud Global IP Traffic Growth 40B+ devices with intelligence

More information

Memory Requirement for Server Application

Memory Requirement for Server Application Server Memory Trends (Past and Future) Server Memory Forum 2011 Memory Requirement for Server Application High End -DB server HPC/ MC In-Memory System TeraByte System Large Capacity Mid End - Application

More information

CSE 123A Computer Networks

CSE 123A Computer Networks CSE 123A Computer Networks Winter 2005 Lecture 8: IP Router Design Many portions courtesy Nick McKeown Overview Router basics Interconnection architecture Input Queuing Output Queuing Virtual output Queuing

More information

Highest Levels of Scalability Simplified Network Manageability Maximum System Productivity

Highest Levels of Scalability Simplified Network Manageability Maximum System Productivity InfiniBand Brochure Highest Levels of Scalability Simplified Network Manageability Maximum System Productivity 40/56/100/200Gb/s InfiniBand Switch System Family MELLANOX SMART INFINIBAND SWITCH SYSTEMS

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Innovations in Non-Volatile Memory 3D NAND and its Implications May 2016 Rob Peglar, VP Advanced Storage,

Innovations in Non-Volatile Memory 3D NAND and its Implications May 2016 Rob Peglar, VP Advanced Storage, Innovations in Non-Volatile Memory 3D NAND and its Implications May 2016 Rob Peglar, VP Advanced Storage, Micron @peglarr 2015 Micron Technology, Inc All rights reserved Products are warranted only to

More information

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution Kunal Varshney, Open-Silicon Ganesh Venkatkrishnan, Open-Silicon Pankaj Prajapati, Open-Silicon May 9, 9, 2016 1 Agenda High Bandwidth Memory

More information

Scaling routers: Where do we go from here?

Scaling routers: Where do we go from here? Scaling routers: Where do we go from here? HPSR, Kobe, Japan May 28 th, 2002 Nick McKeown Professor of Electrical Engineering and Computer Science, Stanford University nickm@stanford.edu www.stanford.edu/~nickm

More information

NVMe Direct. Next-Generation Offload Technology. White Paper

NVMe Direct. Next-Generation Offload Technology. White Paper NVMe Direct Next-Generation Offload Technology The market introduction of high-speed NVMe SSDs and 25/40/50/100Gb Ethernet creates exciting new opportunities for external storage NVMe Direct enables high-performance

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

Creating Storage Class Persistent Memory With NVDIMM

Creating Storage Class Persistent Memory With NVDIMM Creating Storage Class Persistent Memory With NVDIMM PAUL SWEERE Vice President, Engineering paul.sweere@vikingtechnology.com MEMORY/STORAGE HIERARCHY Data-Intensive Applications Need Fast Access To Storage

More information

Netronome NFP: Theory of Operation

Netronome NFP: Theory of Operation WHITE PAPER Netronome NFP: Theory of Operation TO ACHIEVE PERFORMANCE GOALS, A MULTI-CORE PROCESSOR NEEDS AN EFFICIENT DATA MOVEMENT ARCHITECTURE. CONTENTS 1. INTRODUCTION...1 2. ARCHITECTURE OVERVIEW...2

More information

Deploying Data Center Switching Solutions

Deploying Data Center Switching Solutions Deploying Data Center Switching Solutions Choose the Best Fit for Your Use Case 1 Table of Contents Executive Summary... 3 Introduction... 3 Multivector Scaling... 3 Low On-Chip Memory ASIC Platforms...4

More information

Disruptive Technologies Shaping Data Center Growth

Disruptive Technologies Shaping Data Center Growth Road to 2 Terabit and Onwards Disruptive Technologies Shaping Data Center Growth Siddharth Sheth Vice President of Marketing, High-Speed Connectivity Products Agenda Company snapshot Market Trends Technology

More information

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS TECHNOLOGY BRIEF June 2002 Compaq Computer Corporation Prepared by ISS Technology Communications C ONTENTS Executive Summary 1 Notice 2 Introduction 3 SDRAM Operation 3 How CAS Latency Affects System Performance

More information

Cisco HyperFlex HX220c M4 and HX220c M4 All Flash Nodes

Cisco HyperFlex HX220c M4 and HX220c M4 All Flash Nodes Data Sheet Cisco HyperFlex HX220c M4 and HX220c M4 All Flash Nodes Fast and Flexible Hyperconverged Systems You need systems that can adapt to match the speed of your business. Cisco HyperFlex Systems

More information

CCIX: a new coherent multichip interconnect for accelerated use cases

CCIX: a new coherent multichip interconnect for accelerated use cases : a new coherent multichip interconnect for accelerated use cases Akira Shimizu Senior Manager, Operator relations Arm 2017 Arm Limited Arm 2017 Interconnects for different scale SoC interconnect. Connectivity

More information

SAP HANA x IBM POWER8 to empower your business transformation. PETER LEE Distinguished Engineer Systems Hardware, IBM Greater China Group

SAP HANA x IBM POWER8 to empower your business transformation. PETER LEE Distinguished Engineer Systems Hardware, IBM Greater China Group SAP HANA x IBM POWER8 to empower your business transformation PETER LEE Distinguished Engineer Systems Hardware, IBM Greater China Group Digital Transformation in the Era of Big Data Volume Variety Velocity

More information

IBM Power AC922 Server

IBM Power AC922 Server IBM Power AC922 Server The Best Server for Enterprise AI Highlights More accuracy - GPUs access system RAM for larger models Faster insights - significant deep learning speedups Rapid deployment - integrated

More information

Family 15h Models 00h-0Fh AMD Opteron Processor Product Data Sheet

Family 15h Models 00h-0Fh AMD Opteron Processor Product Data Sheet Family 15h Models 00h-0Fh AMD Opteron Publication # 49687 Revision # 3.01 Issue Date October 2012 Advanced Micro Devices 2011, 2012 Advanced Micro Devices Inc. All rights reserved. The contents of this

More information

Programmable Server Adapters: Key Ingredients for Success

Programmable Server Adapters: Key Ingredients for Success WHITE PAPER Programmable Server Adapters: Key Ingredients for Success IN THIS PAPER, WE DIS- CUSS ARCHITECTURE AND PRODUCT REQUIREMENTS RELATED TO PROGRAM- MABLE SERVER ADAPTERS FORHOST-BASED SDN, AS WELL

More information

A Four-Terabit Single-Stage Packet Switch with Large. Round-Trip Time Support. F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I.

A Four-Terabit Single-Stage Packet Switch with Large. Round-Trip Time Support. F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I. A Four-Terabit Single-Stage Packet Switch with Large Round-Trip Time Support F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I. Iliadis IBM Research, Zurich Research Laboratory, CH-8803 Ruschlikon, Switzerland

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Optimizing Your Memory Sub-System

Optimizing Your Memory Sub-System Optimizing Your Memory Sub-System AKA Choosing the right memories Design with Freescale Toronto Jim Cooke Ecosystems Enablement JCooke@micron.com Les Marentette FAE Manager LMarentette@tech-trek.com November

More information

VIA ProSavageDDR KM266 Chipset

VIA ProSavageDDR KM266 Chipset VIA ProSavageDDR KM266 Chipset High Performance Integrated DDR platform for the AMD Athlon XP Page 1 The VIA ProSavageDDR KM266: High Performance Integrated DDR platform for the AMD Athlon XP processor

More information

Industry Trends in 3D and Advanced Packaging

Industry Trends in 3D and Advanced Packaging Industry Trends in 3D and Advanced Packaging Outline Industry System and Component Challenges & Trends 3D and Advanced Packaging Value-proposition and Client Examples 3D Technology Details 3DIC / 3DTSV

More information

DELL EMC READY BUNDLE FOR VIRTUALIZATION WITH VMWARE AND FIBRE CHANNEL INFRASTRUCTURE

DELL EMC READY BUNDLE FOR VIRTUALIZATION WITH VMWARE AND FIBRE CHANNEL INFRASTRUCTURE DELL EMC READY BUNDLE FOR VIRTUALIZATION WITH VMWARE AND FIBRE CHANNEL INFRASTRUCTURE Design Guide APRIL 0 The information in this publication is provided as is. Dell Inc. makes no representations or warranties

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

EPYC VIDEO CUG 2018 MAY 2018

EPYC VIDEO CUG 2018 MAY 2018 AMD UPDATE CUG 2018 EPYC VIDEO CRAY AND AMD PAST SUCCESS IN HPC AMD IN TOP500 LIST 2002 TO 2011 2011 - AMD IN FASTEST MACHINES IN 11 COUNTRIES ZEN A FRESH APPROACH Designed from the Ground up for Optimal

More information

eslim SV Dual and Quad-Core Xeon Server Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC.

eslim SV Dual and Quad-Core Xeon Server  Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC. eslim SV7-2186 Dual and Quad-Core Xeon Server www.eslim.co.kr Dual and Quad-Core Server Computing Leader!! ESLIM KOREA INC. 1. Overview eslim SV7-2186 Server Dual and Quad-Core Intel Xeon Processors 4

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information