Low Power & High Bandwidth Memory Trend

Size: px
Start display at page:

Download "Low Power & High Bandwidth Memory Trend"

Transcription

1 Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park]

2 Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4 Evolutionary Way SK hynix Developed the Industry s First Wide IO2 Mobile DRAM Revolutionary Way Source: link Source: link 溫故知新 By comprehending the ways of the past, we gain understanding of the future.

3 Evolutionary Way of Mobile DRAM Transition Year System Requirement Low Power & Small Form Factor LP & SFF + Increased Speed for various segments Quick transition from existing version Maximizing B/W w/ Low Power Memory Solution LPDDR 400Mbps LPDDR2 800/1066Mbps LPDDR3 1600/2133Mbps LPDDR4 3200/4266Mbps TCSR / PASR Low VDD/Q(1.8V) x32 Die Edge Simple Trainings 2bit prefetch Lower VDD/Q(1.2V) 4/2bit prefetch & N More Trainings 8bit prefetch Lower VDD/Q(1.1V) 2ch. X16 DQs on Edge Sophisticated Trainings 16bit prefetch

4 Bandwidth Trend of LPDDRx Mobile System s Bandwidth Trend w/ LPDDRx Spectrum of Mobile DRAM s Solution 6400 LPDDR5??? 3200 LPDDR4 25.6GBps LPDDR3 12.8GBps LPDDR2 6.4GBps LPDDR 3.2GBps Requirements of Mobile Systems Performance Enhancing performance, while meeting all other requirements, is the most desirable way. Power Form Factor Cost

5 Revolutionary Way of Mobile DRAM Transition Year System Requirement Providing 12.8GBps / Die Maximizing B/W Up to 68GBps w/ Low Power Memory Solution WIO SDR 200Mbps TCSR/PASR Low VDD/Q(1.2V) 4ch. X128 ubump DQs Simple CMOS signaling 1bit prefetch WIO2 800/1066Mbps Lower VDD/Q(1.1V) 8ch. X64 ubump DQs Simple CMOS signaling 4bit prefetch

6 Bandwidth Trend of WIOx WIO s Bandwidth Curve Example of WIO s SiP Ecosystem 2.5D 3D Logic Memory Interposer Memory Logic 6400 LPDDR5??? Substrate Substrate 3200 LPDDR4 25.6GBps DRAM Die 1600 LPDDR3 12.8GBps WIO3??? Logic Die 800 LPDDR2 6.4GBps WIO2 51.2GBps Bonding Packaging On Substrate Final Test (SiP test) Ship Final Product 400 LPDDR 3.2GBps Package substrate 200 WIO SDR 12.8GBps Foundry Company Memory Company OSAT Company SoC Company Which one will get an authority of the SiP process? Set Company

7 Future Mobile System Requirement 1. Performance 2. Power 3. Form Factor 4. Cost 5. Openness to Change of memory hierarchy Note: Stars indicate the relative comparison of each applications, with 3 stars representing those with the highest priority

8 Consideration 1. Performnace Evolutionary Way Revolutionary Way Effective in utilizing existing experiences. However, we are reaching the speed limit. Can we get more transition of IO speed? ( Mbps?) The obvious benefit of bandwidth increase. However, we are facing ecosystem challenges. Can we solve the ecosystem challenge? (Is the SiP only way?)

9 Consideration 2. Power Optimal definition of Fetch Size is required. (Page Size / Burst Length / DQ count) Mainly follows the Power = CV^2f*DQs equation. Minimizing each elements value will be important. More smarter way of optimizing refresh interval will be required as we face increasing die density.

10 Consideration 3. Balancing (btw performance & power) DQ Toggling power = C * V^2 * f * DQ counts Evolutionary Way Revolutionary Way Cmax (DQ) VDDQ f DQs (system) Cmax (DQ) VDDQ f DQs LPDDR3 1.8pF 1.2V ~2133M 64 LPDDR4 1.3pF 1.1V ~3200M 64 LPDDR4X TBD 0.6V ~4266M 64 WIO SDR V 400M 512 WIO V 1066M 512 WIO next????????? LPDDR5????? ~????M 64 The lowest values possible are required for the target IO speed. Continuous Increase Changing f * DQs relationship may require other optimal values. What will be the optimal f * DQs definition providing better ecosystem?

11 Consideration 4. Power (wearables) [Restrictions on Wearables] [Considerations for DRAM Definition] Battery Capacity(mAh) Power Profile (hr) 0 1,000 2,000 3, Capacity Minimal Can be charged During sleeping, driving, dining, meeting, + Extra Battery Operation Power Unit Fetch Size BL / DQ, Page Size) VDD target Operating Frequency Mostly used during working hours Goal: 24/7 working like typical watches with frequent wake-ups Standby Power Optimizing Refresh intervals : Charge time during DOU

12 Consideration 5. Form Factor Evolutionary Way Revolutionary Way Package on Package (FBGA) FBGA is the most favorable one, but pin speed increase may require other types of packaging method. Will there be more easier way of packaging like FBGA for WIO memory? Side by Side (FBGA) Silicon In Package w/ 3DIC Will 3DIC like concept be required for the better connection between memory & processor? 3DIC is the best way for making shortest path, but it requires complicated ecosystem issue.

13 Consideration 6. Cost Memory Cost PKG/ Test PKG/ Test PKG cost is targeted to be the same, but costs may increase due to high speed test. (~6Gbps) PKG/ Test Special Test & Packaging method may act as a cost adder. Die Die Small die cost adder is desirable due to minimized increase of pin count. Die Die cost adder is critical as it may require many IO pins. LPDDR4 Evolutionary way Revolutionary way

14 SK hynix TSV Technology SK hynix s 3DS Tech. Leadership SK hynix s HBM Tech. Leadership SK hynix 128GB are based on Through Silicon Via(TSV) technology SK hynix develops high speed TSV Memory chip SK hynix starts production of HBM DRAM Chip modules using 3D TSV

15 Consideration 7. Memory Hierarchy LPDDRx memory for Cost Saving & Capacity Increase WIOx memory for Performance, Low Power and Small form factor

16 Where Are We Heading For? Balancing all requirements across segments Performance Power Form Factor Cost

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

LPDDR4: Evolution for new Mobile World

LPDDR4: Evolution for new Mobile World LPDDR4: Evolution for new Mobile World 2013.08.06 JungYong(JY) Choi Senior Manager Samsung Semiconductor Inc. 1 / 22 Legal Disclaimer This presentation is intended to provide information concerning memory

More information

Low Power DRAM Evolution

Low Power DRAM Evolution Low Power DRAM Evolution Osamu Nagashima Executive Professional Micron Memory Japan JEDEC Mobile & IOT Forum Copyright 2016 Micron Technology, Inc How We Got Here Low Power DRAM evolved from a lowervoltage,

More information

Mobile DRAM Standard Formulation

Mobile DRAM Standard Formulation Mobile DRAM Standard Formulation Chun-Lung Hsu, Jenn-Shyang Chiou and Wen-Hsin Peng Abstract Mobile DRAM is widely employed in portable electronic devices due to its feature of low-power consumption. Recently,

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Contents. ICT & Requirements. Benefits of HBM. Dynamics of HBM. Readiness, Achievement, and Strategy of SKH. Conclusion

Contents. ICT & Requirements. Benefits of HBM. Dynamics of HBM. Readiness, Achievement, and Strategy of SKH. Conclusion Contents ICT & Requirements - Shi& from IoT to IoE: What is the Difference? - IoE- Based Keywords: Connec?vity, Convergence & Intelligence Benefits of HBM - Higher BW, Lower Latency, Lower Power & Small

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Architectural Options for LPDDR4 Implementation in Your Next Chip Design

Architectural Options for LPDDR4 Implementation in Your Next Chip Design Architectural Options for LPDDR4 Implementation in Your Next Chip Design Marc Greenberg, Director, DDR Product Marketing, Synopsys JEDEC Mobile & IOT Forum Copyright 2016 Synopsys, Inc. Introduction /

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

Introduction. SK hynix

Introduction. SK hynix It was very informative. I had a lot of questions answered. It was a good assembly of design and manufacturing elements. I learned a lot that I didn t know. It s good to hear that TSVs are ready for HBM.

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM

2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM SPI2012 2-Channel 2-Layer Inner-Stack Memory-module Design for LPDDR2/3 DRAM May 14, 2012 Jongjoo Lee jjdragon@ieee.org Joon Ki Paek and Joonhee Lee Solution Development Team Memory Division Samsung Electronics

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90003-T0031 ISSUE DATE MODULE PART NO. : July-28-2011 : 78.02GC6.AF0 PCB PART NO. : 48.18220.090 IC Brand DESCRIPTION : Hynix : DDR3

More information

Start Your HBM/2.5D Design Today

Start Your HBM/2.5D Design Today Kevin Tran SK hynix Inc. Paul Silvestri Amkor Technology, Inc. Bill Isaacson esilicon Corporation Brian Daellenbach Northwest Logic Chris Browy Avery Design Systems Executive Summary High-bandwidth memory

More information

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits)

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) SC64G1A08 DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) General Description The ADATA s SC64G1A08 is a 128Mx64 bits 1GB(1024MB) DDR3-1600(CL7) SDRAM XMP (ver 2.0) memory module, The

More information

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits)

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) General Description ADQVD1B16 DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) The ADATA s ADQVD1B16 is a 256Mx64 bits 2GB(2048MB) DDR2-800(CL4) SDRAM EPP memory module, The SPD is programmed to

More information

IMEC CORE CMOS P. MARCHAL

IMEC CORE CMOS P. MARCHAL APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions

More information

The Evolution of Mobile

The Evolution of Mobile The Evolution of Mobile and its impact on storage architecture Jonathan Hubert Director, Strategic Marketing Micron Technology Mobile Memory Workshop 2011 Wireless Data Rates Doubling Every 18 Months 2

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product Multi-Chip Package MEMORY 512M bit(1.8v,32m x 16) 256M bit(1.8v,16m x 16) SLC NAND Flash LPDDR(Mobile DDR) SDRAM Revision No. History Draft Date Remark 00 Initial Draft Nov. 2012 1 Multi-Chip Package MEMORY

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0026 ISSUE DATE MODULE PART NO. : July-26-2012 : 78.A1GDR.AF00C PCB PART NO. : 48.16221.090 IC Brand DESCRIPTION : Hynix :

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Memory Requirement for Server Application

Memory Requirement for Server Application Server Memory Trends (Past and Future) Server Memory Forum 2011 Memory Requirement for Server Application High End -DB server HPC/ MC In-Memory System TeraByte System Large Capacity Mid End - Application

More information

Memory Device Evolution

Memory Device Evolution Memory Device Evolution Cassino May 2008 Maurizio Di Zenzo Applications Lab Mgr Agenda Random access memories A quick comparison of technologies Details of external memory technologies Solutions for low

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

SDRAM DDR3 256MX8 ½ Density Device Technical Note

SDRAM DDR3 256MX8 ½ Density Device Technical Note SDRAM DDR3 256MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the SGG128M8V79DG8GQF-15E DDR3 SDRAM device is configured and tested as a 1Gb device. This

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution Kunal Varshney, Open-Silicon Ganesh Venkatkrishnan, Open-Silicon Pankaj Prajapati, Open-Silicon May 9, 9, 2016 1 Agenda High Bandwidth Memory

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Memory as We Approach a New Horizon

Memory as We Approach a New Horizon Memory as We Approach a New Horizon Hot Chips 2016 Memory Tutorial J. Thomas Pawlowski, Chief Technologist, Fellow 2015 2016 Micron Technology, Inc. All rights reserved. Information, products, and/or specifications

More information

Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014

Understanding DDR4 and Today s DRAM Frontier. Oct 15 th 2014 Understanding DDR4 and Today s DRAM Frontier Oct 15 th 2014 Contents 1. Industry Trend 2. Introduction of DDR4 3. New Technology Node 4. 3D Stacking Technology 5. What s coming Next 2/32 DRAM Market &

More information

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Developed Hybrid Memory System for New SoC. -Why choose Wide I/O? Takashi Yamada Chief Architect, System LSI Business Division Mobile Forum 2014 Copyright 2014 - Panasonic Agenda 4K (UHD) market and changes

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

2.5D FPGA-HBM Integration Challenges

2.5D FPGA-HBM Integration Challenges 2.5D FPGA-HBM Integration Challenges Jaspreet Gandhi, Boon Ang, Tom Lee, Henley Liu, Myongseob Kim, Ho Hyung Lee, Gamal Refai-Ahmed, Hong Shi, Suresh Ramalingam Xilinx Inc., San Jose CA Page 1 Presentation

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Samsung Memory DDR4 SDRAM

Samsung Memory DDR4 SDRAM Samsung Memory SDRAM The new generation of high-performance, power-efficient memory that delivers great reliability for enterprise applications 205 Samsung Electronics Co. Brochure An optimized memory

More information

Memory: Past, Present and Future Trends Paolo Faraboschi

Memory: Past, Present and Future Trends Paolo Faraboschi Memory: Past, Present and Future Trends Paolo Faraboschi Fellow, Hewlett Packard Labs Systems Research Lab Quiz ( Excerpt from Intel Developer Forum Keynote 2000 ) ANDREW GROVE: is there a role for more

More information

Memory. Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd.

Memory. Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd. Memory in the Multimedia Era Changhyun Kim Semiconductor Business Samsung Electronics Co., Ltd. chang.kim@samsung.com Contents I. Introduction 1. Semiconductor Industry Trend 2. State-of-the-Art Memory

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Optimizing Your Memory Sub-System

Optimizing Your Memory Sub-System Optimizing Your Memory Sub-System AKA Choosing the right memories Design with Freescale Toronto Jim Cooke Ecosystems Enablement JCooke@micron.com Les Marentette FAE Manager LMarentette@tech-trek.com November

More information

Mobile DRAM Power-Saving Features and Power Calculations

Mobile DRAM Power-Saving Features and Power Calculations Technical Note TN-46-12: Mobile DRAM Power-Saving Features/Calculations Introduction Mobile DRAM Power-Saving Features and Power Calculations Introduction It s important for today s mobile system designer

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Memory Solutions. Industry Trends and Solution Overview

Memory Solutions. Industry Trends and Solution Overview Memory Solutions Industry Trends and Solution Overview Outline Industry Trends & Market Status Existing SDRAM Technologies DDR3, DDR3L, DDR3U DDR3 Signaling LPDDR2/LPDDR3 LPDDR3 Signaling DDR4 DDR4 Signaling

More information

2GB DDR3 SDRAM 72bit SO-DIMM

2GB DDR3 SDRAM 72bit SO-DIMM 2GB 72bit SO-DIMM Speed Max CAS Component Number of Part Number Bandwidth Density Organization Grade Frequency Latency Composition Rank 78.A2GCF.AF10C 10.6GB/sec 1333Mbps 666MHz CL9 2GB 256Mx72 256Mx8

More information

Overview of packaging DRAMs and use of RDL

Overview of packaging DRAMs and use of RDL Overview of packaging DRAMs and use of RDL ECG 721 Memory Circuit Design Kostas Moutafis April 2017 A die photograph of the Micron Technology MT4C1024 DRAM integrated circuit. It has a capacity of 1 megabit,

More information

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC4-2133 CL 15-15-15 General Description This Legacy device is a JEDEC standard unbuffered SO-DIMM module, based on CMOS DDR4 SDRAM technology,

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

SDRAM DDR3 512MX8 ½ Density Device Technical Note

SDRAM DDR3 512MX8 ½ Density Device Technical Note SDRAM DDR3 512MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the PRN256M8V90BG8RGF-125 DDR3 SDRAM device operates and is configured as a 2Gb device. Addressing

More information

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation.

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. Agenda >Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. >Embedded Device Technology #1. Embedded MLCC in FCCSP Substrate. #2. Embedded Active Device.

More information

LP-DDR. How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc.

LP-DDR. How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc. LP-DDR How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc. 1 Introduction There are two types of DRAM chips commonly used in embedded systems.

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

4GB DDR3 SDRAM SO-DIMM

4GB DDR3 SDRAM SO-DIMM RoHS Compliant 4GB DDR3 SDRAM SO-DIMM Product Specifications December 12, 2013 Version 1.1 Apacer Technology Inc. 1F., No.32, Zhongcheng Rd., Tucheng Dist., New Taipei City 236, Taiwan Tel: +886-2-2267-8000

More information

Datasheet. Zetta 4Gbit DDR4 SDRAM. Features. RTT_NOM switchable by ODT pin Asynchronous RESET pin supported

Datasheet. Zetta 4Gbit DDR4 SDRAM. Features. RTT_NOM switchable by ODT pin Asynchronous RESET pin supported Zetta Datasheet Features VDD=VDDQ=1.2V +/- 0.06V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK transition

More information

Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge

Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge white paper Intel Stratix 10 MX Devices Solve the Memory Bandwidth Challenge The Intel Stratix 10 MX family helps customers efficiently meet their most demanding memory bandwidth requirements. Authors

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

SDRAM DDR3 512MX8 ½ Density Device Technical Note

SDRAM DDR3 512MX8 ½ Density Device Technical Note SDRAM DDR3 512MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the XAA512M8V90BG8RGF-SSWO and SSW1 DDR3 SDRAM device is configured and tested as a 2Gb device.

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

Mobile LPDDR (only) 152-Ball Package-on-Package (PoP) TI-OMAP MT46HxxxMxxLxCG MT46HxxxMxxLxKZ

Mobile LPDDR (only) 152-Ball Package-on-Package (PoP) TI-OMAP MT46HxxxMxxLxCG MT46HxxxMxxLxKZ Mobile LPDDR (only) 152-Ball Package-on-Package (PoP) TI-OMAP MT6HxxxMxxLxCG MT6HxxxMxxLxKZ 152-Ball x Mobile LPDDR (only) PoP (TI-OMAP) Features Features / = 1.70 1.95V Bidirectional data strobe per byte

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

4GB DDR3 SDRAM SO-DIMM

4GB DDR3 SDRAM SO-DIMM RoHS Compliant 4GB DDR3 SDRAM SO-DIMM Product Specifications January 27, 2014 Version 1.1 Apacer Technology Inc. 1F., No.32, Zhongcheng Rd., Tucheng Dist., New Taipei City 236, Taiwan Tel: +886-2-2267-8000

More information

Technical Note. GDDR5X: The Next-Generation Graphics DRAM. Introduction. TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM.

Technical Note. GDDR5X: The Next-Generation Graphics DRAM. Introduction. TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM. Technical Note TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM Introduction GDDR5X: The Next-Generation Graphics DRAM Introduction Since its market introduction in 2008, GDDR5 SGRAM has been the choice

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

Near-Field Coupling Integration Technology

Near-Field Coupling Integration Technology Near-Field Coupling Integration Technology 128-Die Stacking ISSCC2010, pp.440-441 Tadahiro Kuroda Keio University, Japan IEEE Fellow August 31, 2016 1of 40 Challenge to Tyranny of Numbers From System on

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer

Designing High-Speed Memory Subsystem DDR. using. Cuong Nguyen. Field Application Engineer Designing High-Speed Memory Subsystem using DDR Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 Your Design for Excellence Partner Since 1997 EDA Direct has helped

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016 The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics David McCann November 14, 2016 Outline Multi-Chip Module Evolution We had MCM s. What Happened? What Have we Learned? Trends and

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

D G28RA 128M x 64 HIGH PERFORMANCE PC UNBUFFERED DDR3 SDRAM SODIMM

D G28RA 128M x 64 HIGH PERFORMANCE PC UNBUFFERED DDR3 SDRAM SODIMM D93 6865G28RA 128M x 64 HIGH PERFORMANCE PC3-10600 UNBUFFERED DDR3 SDRAM SODIMM Features 240- Dual In-Line Memory Module (UDIMM) Inputs and outputs are SSTL-15 compatible V DD = V DDQ = 1.5V ± 0.075V Differential

More information

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s January 2015 Jennie Grosslight Product Manager Agenda Overview Benefits and challenges for DDR4 and LPDDR4 >2400Mb/s Breakthrough Insight

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

1.8V Core Async/Page PSRAM

1.8V Core Async/Page PSRAM 1.8V Core Async/Page PSRAM Overview The IS66WVE4M16ALL is an integrated memory device containing 64Mbit Pseudo Static Random Access Memory using a self-refresh DRAM array organized as 4M words by 16 bits.

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information

ARCHITECTURAL TECHNIQUES TO ENHANCE DRAM SCALING. Thesis Defense Yoongu Kim

ARCHITECTURAL TECHNIQUES TO ENHANCE DRAM SCALING. Thesis Defense Yoongu Kim ARCHITECTURAL TECHNIQUES TO ENHANCE DRAM SCALING Thesis Defense Yoongu Kim CPU+CACHE MAIN MEMORY STORAGE 2 Complex Problems Large Datasets High Throughput 3 DRAM Module DRAM Chip 1 0 DRAM Cell (Capacitor)

More information

Arm s Latest CPU for Laptop-Class Performance

Arm s Latest CPU for Laptop-Class Performance Arm s Latest CPU for Laptop-Class Performance 2018 Arm Limited Aditya Bedi Arm Tech Symposia India Untethered. Connected. Immersive. Innovation continues to drive growth and performance demands on our

More information

Supercharge Your Applications with Samsung High Bandwidth Memory

Supercharge Your Applications with Samsung High Bandwidth Memory Supercharge Your Applications with Samsung High Bandwidth Memory How a technology ecosystem partnership is bringing TB/s memory transfer speeds to data intensive designs. Ecosystem Partners: Executive

More information

PC PC ns CAS latency = 2 & 3

PC PC ns CAS latency = 2 & 3 HYS64V64220GBDL 512 MB PC100 / PC133 144 Pin Eight Byte Small Outline Dual-In-Line Synchronous DRAM Modules for notebook applications Two bank 64M x 64 non-parity module organisation suitable for use in

More information

An Implementer s Guide to Low Power and High Performance Memory Solutions

An Implementer s Guide to Low Power and High Performance Memory Solutions JANUARY 28 31, 2014 SANTA CLARA CONVENTION CENTER An Implementer s Guide to Low Power and High Performance Memory Solutions John Eble, Rambus Inc. Ming Li, Rambus Inc. Wendem Beyene, Rambus Inc. Memory

More information