Comprehensive RISC-V Solutions for Diversified SoCs

Size: px
Start display at page:

Download "Comprehensive RISC-V Solutions for Diversified SoCs"

Transcription

1 Comprehensive RISC-V Solutions for Diversified SoCs 2018/11/ Andes RISC-V Con Santa Clara Charlie Su, Ph.D. CTO and SVP

2 Agenda Diversified SoC Requirements AndeStar V5: Best Extensions to RISC-V AndesCore 25-Series Processors Supporting Environments Andes Custom Extensions (ACE) Concluding Remarks Driving Innovations 2

3 Diversified SoC Requirements Driving Innovations 3

4 Diversified Usage of AndesCore Rich experience based on customer diversified needs: Interrupt sources: <16? >100? Interrupt latencies: care and don t care Data processing: Efficiency: DSP+SIMD based on existing GPR s Performance: scalable vectors with more resources Write-back and write-through caches Loading RO-data from icache! Hardwired engines vs. coprocessors Small cores in MCU s or large SoC s Open-source compilers or commercial compilers Driving Innovations 4

5 AndeStar V5: Best Extension to RISC-V Driving Innovations 5

6 Merits of RISC-V ISA Compact kernel (I/E) Modular extensions: M, A, C, F, D, Freedom of custom extensions Andes comprehensive solutions through custom extensions RISC-V Architecture Extensibility is the key to advance RISC-V by Standardizing on the common baseline Allowing innovations to extend RISC-V for their respective market Krste Asanovic 1, Healthy Discussion of Architectural Choices 2 : RISC-V was designed to support specialization while avoiding fragmentation by mandating a frozen common ISA standard around which the software community coalesces, while leaving ample space for innovative custom extensions that do not interfere Note 1: Chariman of the RISC-V Foundation, Berkeley Professor, and SiFive co-founder Note 2: EE Times, 7/11/2018 Driving Innovations 6

7 Andes Comprehensive RISC-V Solutions Best extensions to RISC-V AndeStar Architecture V5 Highly optimized design for long life cycle AndesCore Processors Standby & VIC AndesCore ucore Instr LM Intf Data LM Intf COP Intf ITLB MMU/MPU DTLB Instr Cache EDM Data Cache AndeSight Tools Professional IDE with high code quality DMA Engine Bus Interface Unit Handy peripheral IPs to speed up SoC construction AndeShape Platforms AndeSoft Stacks Extensive SW stacks from bare metal, RTOS to Linux Driving Innovations 7

8 Andes Extended RISC-V Solutions More choices for customers Andes works closely with partners to grow RISC-V ecosystem Driving Innovations 8

9 AndeStar V5: Best Extensions to RISC-V AndeStar V5: RISC-V + Andes Extensions Baseline ISA extensions: Faster memory accesses Faster branches More compact code on top of RV-C Andes Custom Extension (ACE) frameworks for DSA Powerful tools No CPU design experience needed DSP/SIMD ISA based on GPRs: Chairing P-ext TG with Andes popular DSP ISA as the starting point Full cache support: Management operations (flush, invalidate, etc.) at the line level Uncached accesses Write-back and write-through PLIC extension: Vectored dispatch Priority-based preemption Save >50% of instructions Co-chairing Fast Interrupt TG to push to the standard Driving Innovations 9

10 State of RISC-V SW Driving Innovations 10

11 GNU Toolchains GCC, binutils: May, 2017 Newlib: Aug, 2017 Glibc (rv64i): Feb, 2018 GDB: Mar, 2018 OpenOCD: July, 2018 Glibc (rv32i): Submitted since July 2018 (by Andes) Review in progress Driving Innovations 11

12 LLVM Toolchains LLVM: RV32IMAFDC: June, 2018 Relaxation: May, 2018 (by Andes) 64b support: review in progress since Oct, 2018 Missing hard-float calling convention compiler-rt: Mar, 2018 LLD: Aug, 2018 (by Andes) Initial port (relocation and TLS) in Oct Dynamic linking review in progress since Oct, 2017 Missing link-time relaxation Driving Innovations 12

13 Linux and Friends U-boot: Jan, 2018 (by Andes) Kernel (rv64i): Jan, 2018 Key utilities: (by Andes) Perf: Feb, 2018 Kernel Module: May, 2018 Ftrace: May, 2018 Kernel (rv32i): Jun, 2018 (by Andes) Kernel with no FPU: Oct, 2018 Driving Innovations 13

14 AndesCore 25-Series Processors Driving Innovations 14

15 Common Features of the 25-Series 32-bit and 64-bit cores From scratch for the best PPA AndeStar V5 ISA Superset of RISC-V IMAC ISA 5-stage pipeline, single-issue 1 full-cycle for key SRAMs Speed similar to traditional 8-stage cores Only use single-ported Configurable multiplier Sequential: 1~8 bits per cycle Parallel: pipelined 2 cycles Optional branch prediction PLIC SRAM/AHBL PMU AHB/AXI Debug Module SRAM/AHBL Driving Innovations 15

16 Common Features of the 25-Series Memory subsystem I/D Local Memory (LM): optional Size: 4KB to 16MB Interface: SRAM or AHB-lite I/D caches: optional Size: 8KB to 64KB Direct-map, 2-way, 4-way Error protection: optional Parity or ECC 1 (SECDED) Bus interface A master port (AHB/AXI) An optional slave port (AHB) JTAG debug module up to 8 triggers(breakpoints/watchpoints) PLIC PMU Debug Module Note 1: ECC for LM only supports SRAM intf currently. AHB-lite intf available upon request. SRAM/AHBL AHB/AXI SRAM/AHBL Driving Innovations 16

17 V5 AndesCore : the 25-Series N25/NX25: Fast-n-small cores for control tasks in AI, AR/VR, networking, storage, and more N25F/NX25F: +FPU (F or FD) +,, x, x+, x : pipelined 5 cycles, : run in the background 15 cycles for SP, 29 cycles for DP FP load/store: support Half-Precision (HP) A25/AX25: +FPU +Linux Support RISC-V MMU and S-mode SV{32, 39, 48}, all page sizes 4-way 32~128-entry Shared-TLB 4 or 8-entry ITLB and DTLB Whetstone/MHz: 1.04 IMACFD Perf Ext. CoDense NX25 NX25F AX N25F CM7 CA7 N25 N25F A25 SP DP Driving Innovations 17 A C E

18 28nm PPA for 25-Series Smallest usable N25/NX25 1 : ILM/DLM, no caches/btb 1 GHz: 37K, mm 2, 4.1 uw/mhz NX25@ 1 GHz: 56K, mm 2, 6.0 uw/mhz Features N*25 N*25F A*25 I/D Local Memory KB I$/D$ BTB Yes Yes Yes SP/DP FPU -- Yes Yes MMU and S-Mode Yes Worst-Case Max. Freq. (GHz) Coremark/MHz (rv32), 3.52 (rv64) DMIPS/MHz (ground rule) (rv32), 2.09 (rv64) Note 1: TSMC 28HPC+ RVT 9T library, TSMC high-speed memory. Frequency condition: 0.81v/-40 o c. Note 2: BSP V5.0.0 toolchain; DMIPS/ground rule uses no-inline option. Driving Innovations 18

19 RTL Configuration Tool Driving Innovations 19

20 RTL Configuration Tool Driving Innovations 20

21 Summary of 25-Series Advantages Higher performance (20%) Smaller code size (12%) Rich features for embedded applications Complete features for caches HW misaligned accesses StackSafe stack protection Power management support Fast interrupt handling 2-wire debug support Better Verilog RTL code CPU Open Source Andes N25 GCC Foundation Andes ISA Used RV32IMAC V5 CoreMark/MHz (+8.7%) (+20%) DMIPS/MHz (no-inline) (+6.3%) (+22%) CSiBE 1,185 1,340 Code Size (KB) (-12%) Vendors Readability CAD Tool Configuration by Andes Good Friendly Customers Some Poor Unfriendly Vendors Driving Innovations 21

22 Supporting Environments Platform IPs, ICE, IDE, Boards Driving Innovations 22

23 Pre-integrated AXI and AHB Platform JTAG JTAG Debug Xport Debug Module Interrupt Requests PLIC 25-series BIU BIU master master slave slave Inst. Memory Data Memory CPU Subsystem AXI/AHB IP GPIO I2C PWM/PIT RTC QSPI UART WDT APB Bridge AXI/AHB Bus Matrix Sys. Mgmt Unit DMA Bus Masters Bus Slaves APB IP Customer s or Partner s IP s Driving Innovations 23

24 AndeShape ICE Features Feature AICE2 AICE-MINI Host Interface USB 2.0 Power Supply Multi-Core Debugging Profiling Andes Debug Interface USB bus powered Up to 16 targets Supported JTAG (JDP, 5-wire) Serial (SDP, 2-wire) I/O Voltage (V) 1.8~ ~3.3 Target Connector Download Speed (Write RAM, KB/s) Upload Speed (Read RAM, KB/s) AICE 20 pins AICE 10 pins Trace 20 pins 700 (5w) 500 (2w) 500 (5w) 400 (2w) AICE 20 pins 300 (5w) 100 (2w) 200 (5w) 100 (2w) AICE2 AICE-MINI Driving Innovations 24

25 AndeSight : Professional IDE Eclipse-based, enriched by 13-year effort FreeRTOS Task List FreeRTOS Event List Driving Innovations 25

26 Physical/Virtual Boards and SW Stacks AndeShape Development Boards Full-Featured ADP-XC7 Compact Corvette-F1 (Arduino-compatible) With and ICE on board Simulators supported in AndeSight Andes near-cycle accurate simulator standalone and in SystemC TLM 2.0 Any gdb-remote capable simulator such as Qemu Qemu Virtual Board AX25 with AE350 SoC platform, booted U-Boot and Linux Used by opensuse project for UEFI AndeSoft SW Stack Rich sample projects for Andes-enhanced features RTOS es: FreeRTOS, ThreadX, Contiki, more IoT Stack connecting to the Cloud Driving Innovations 26

27 Andes Custom Extensions (ACE) Driving Innovations 27

28 Driving Innovations 28 Concise Verilog, C code, and attribute setting - scalar/vector - background - wide IO ACE Framework C O P I L O T Custom-OPtimized Instruction development Tools Extended Tools Extended ISS Extended RTL Automated Env. For Cross Checking Test Case Generator Extended RTL Extended ISS Compiler Asm/Disasm Debugger IDE CPU ISS (near-cycle accurate) Extensible Baseline Components Executable or library CPU RTL Source file

29 Driving Innovations 29 Inner Product of Vectors with 64 8-bit Data reg CfReg { //coef. Custom Register num= 4; width= 512; } ram VMEM { //vector Custom Memory interface= sram; address_bits= 3; //8 elements width= 512; } insn ip64b { operand= {out gpr IP, in CfReg C, in VMEM V}; csim= %{ //multi-precision lib. used IP= 0; for(uint i= 0; i<64; ++i) IP+= ((C >>(i*8)) & 0xff) * ((V >>(i*8)) & 0xff); %}; latency= 3; //enable multi-cycle ctrl }; ip64b.ace //ACE_BEGIN: ip64b assign IP= C[ 7:0] * V[ 7:0] + C[15:8] * V[15:8]... + C[511:504] * V[511:504]; //ACE_END ip64b.v VMEM CfReg ACE Logic GPR 512 Speedup: 85x Intrinsic: long ace_ip64b(cfreg_t, VMEM_t);

30 Driving Innovations 30 RTL Debugging Auto-generate waveform control file Operands and ACE interface signals will be added into waveform control file automatically.

31 Driving Innovations 31 Benefits of ACE Users focus on instruction functions, not CPU pipeline Offload housekeeping tasks to COPILOT tools opcode selection instruction decoding operand mapping input operand accesses output operand updates Auto-generate both RTL and simulator code dependence checking Adding instructions is more like ASIC design

32 Concluding Remarks Driving Innovations 32

33 V5 Processor Roadmap BB + P,F ACE 1 < 2 BumbleBee area,power + 4-core P-ext. + V-ext. >2x perf. Aries Multicore (optimized) 25 Series N25/N25F/A25 NX25/NX25F/AX25 Aries Unicore/ Multicore Note: Roadmap is subject to change without notice. Driving Innovations 33

34 Concluding Remarks Comprehensive solutions based on rich SoC experience AndeStar V5: extension to RISC-V with good support AndesCore 25-Series processors: N25/NX25: Fast-n-small cores for control tasks N25F/NX25F: FP cores for compute-intensive tasks and AI A25/AX25: Application processors Powerful ACE unlocking RISC-V s potential for DSA Strong tools and SW support Continued roadmap to serve a broader market Focused and pure-play CPU IP company for 13 years Andes: Trusted Computing Expert and Your Best RISC-V Partner! Driving Innovations 34

35 Thank You! Andes: Trusted Computing Expert and Your Best RISC-V Partner! Driving Innovations 35

Domain-Specific Acceleration via AndeStar V5 Processors

Domain-Specific Acceleration via AndeStar V5 Processors Domain-Specific Acceleration via AndeStar V5 Processors 2018/12/04-06 2018 RISC-V Summit Santa Clara Charlie Su, Ph.D. CTO and SVP Talk Highlights Overview of Andes Update of Andes RISC-V Processors Andes

More information

Linux-Ready RV-GC AndesCore with Architecture Extensions Charlie Su, Ph.D. CTO and SVP 2018/05/09

Linux-Ready RV-GC AndesCore with Architecture Extensions Charlie Su, Ph.D. CTO and SVP 2018/05/09 Linux-Ready RV-GC AndesCore with Architecture Extensions Charlie Su, Ph.D. CTO and SVP 2018/05/09 WWW.ANDESTECH.COM Introduction to Andes Asia-based IPO Company 13 years in the pure-play CPU IP business

More information

Andes Solutions for MCUs

Andes Solutions for MCUs Andes Solutions for MCUs Dr. Charlie Su, CTO and RD/TM VP 2012/05/23 www.andestech.com Innovate SoC Processors Agenda MCU Market Analysis AndesCores for Emerging Applications Andes MCU Development Tools

More information

Andes e-report. Andes Technology Corporation Files IPO On the Taiwan Stock Exchange

Andes e-report. Andes Technology Corporation Files IPO On the Taiwan Stock Exchange Andes e-report Content Andes Technology Corporation Files IPO On the Taiwan Stock Exchange..P.1 Andes Technology Corp. the First Mainstream CPU IP Provider to Adopt RISC-V Offers New 64bit Processor IP.

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

Integrated Development Environment

Integrated Development Environment Integrated Development Environment WWW.ANDESTECH.COM 1 IDE Page 2 2 Toolchains IDE AndESLive Simulator AICE AndESLive Builder AndeShape AndeSight AndESLive Page 3 3 AndeSight IDE Window View Perspective

More information

Cycle Approximate Simulation of RISC-V Processors

Cycle Approximate Simulation of RISC-V Processors Cycle Approximate Simulation of RISC-V Processors Lee Moore, Duncan Graham, Simon Davidmann Imperas Software Ltd. Felipe Rosa Universidad Federal Rio Grande Sul Embedded World conference 27 February 2018

More information

Introducing the Latest SiFive RISC-V Core IP Series

Introducing the Latest SiFive RISC-V Core IP Series Introducing the Latest SiFive RISC-V Core IP Series Drew Barbier DAC, June 2018 1 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

The Ultra-Low Power Open-source Core

The Ultra-Low Power Open-source Core The Ultra-Low Power Open-source Core to Accelerate the Spreading of RISC-V in China bob_hu@nucleisys.com June 2018 1 Agenda Personal Introduction The Status of RISC-V in China Our Passion of RISC-V in

More information

Jack Kang ( 剛至堅 ) VP Product June 2018

Jack Kang ( 剛至堅 ) VP Product June 2018 Jack Kang ( 剛至堅 ) VP Product June 2018 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance 64-bit Application Cores High Performance

More information

Evaluating SiFive RISC- V Core IP

Evaluating SiFive RISC- V Core IP Evaluating SiFive RISC- V Core IP Drew Barbier January 2018 drew@sifive.com 3 Part Webinar Series Webinar Recordings and Slides: https://info.sifive.com/risc-v-webinar RISC-V 101 The Fundamentals of RISC-V

More information

RISC-V. Palmer Dabbelt, SiFive COPYRIGHT 2018 SIFIVE. ALL RIGHTS RESERVED.

RISC-V. Palmer Dabbelt, SiFive COPYRIGHT 2018 SIFIVE. ALL RIGHTS RESERVED. RISC-V Palmer Dabbelt, SiFive Why Instruction Set Architecture matters Why can t Intel sell mobile chips? 99%+ of mobile phones/tablets are based on ARM s v7/v8 ISA Why can t ARM partners sell servers?

More information

Xtensa 7 Configurable Processor Core

Xtensa 7 Configurable Processor Core FEATURES 32-bit synthesizable RISC architecture with 5-stage pipeline, 16/24-bit instruction encoding with modeless switching Designer-configurable processor options (MMU/MPU, local memory types and sizes,

More information

Andes e-report. New IP Solutions for IoT Designs

Andes e-report. New IP Solutions for IoT Designs Andes e-report Content: New IP Solutions for IoT Designs..P.1 Weltrend Adopts AndesCore in WT8893 Around View Monitor Video Processor Shipping in a Major Sports Utility Vehicle. P.4 Cyberon and Andes Collaborate

More information

邁向微化極速的智能新紀元 微控與嵌入平板設計方案

邁向微化極速的智能新紀元 微控與嵌入平板設計方案 邁向微化極速的智能新紀元 微控與嵌入平板設計方案 Towards a Micro-oriented and Speed-focused Smart Era: A Design Solution to be Embedded in Micro-controllers and Tablets 林志明晶心科技 2012, 6, 7 1 邁向微化極速的智能新紀元 微化 : Systems/SoC: 輕薄短小,

More information

A 45nm 1.3GHz 16.7 Double-Precision GFLOPS/W RISC-V Processor with Vector Accelerators"

A 45nm 1.3GHz 16.7 Double-Precision GFLOPS/W RISC-V Processor with Vector Accelerators A 45nm 1.3GHz 16.7 Double-Precision GFLOPS/W ISC-V Processor with Vector Accelerators" Yunsup Lee 1, Andrew Waterman 1, imas Avizienis 1,! Henry Cook 1, Chen Sun 1,2,! Vladimir Stojanovic 1,2, Krste Asanovic

More information

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips

SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips SiFive Freedom SoCs: Industry s First Open-Source RISC-V Chips Yunsup Lee Co-Founder and CTO High Upfront Cost Has Killed Innovation Our industry needs a fundamental change Total SoC Development Cost Design

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Virtual Platforms, Simulators and Software Tools

Virtual Platforms, Simulators and Software Tools Virtual Platforms, Simulators and Software Tools DAC San Francisco June 2018 Simon Davidmann Imperas Software Ltd Page 1 Agenda Introduction to Imperas Embedded Software Development Challenges Range of

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

Low-Power Processor Solutions for Always-on Devices

Low-Power Processor Solutions for Always-on Devices Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, 2014 2014 Synopsys, Inc. All rights reserved. 1 Always-on Mobile Devices Mobile devices on the move Mobile

More information

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software How to Address RISC-V Compliance in the Era of OPEN ISA and Custom Instructions RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software Agenda RISC-V Compliance Compliance for RISC-V

More information

STM32 F0 Value Line. Entry-level MCUs

STM32 F0 Value Line. Entry-level MCUs STM32 F0 Value Line Entry-level MCUs Key Messages 2 STM32 F0: Is the Cortex -M0 core generated with ST s STM32 DNA, for cost sensitive designs. The STM32 F0 is benefiting of STM32 DNA, providing the essential

More information

Virtual Platforms for early Embedded Software Development

Virtual Platforms for early Embedded Software Development Virtual Platforms for early Embedded Software Development RISC-V 8 th Workshop Barcelona Wednesday May 09, 4:00pm Kevin McDermott & Lee Moore Imperas Software Hugh O Keeffe Ashling Page 1 New Markets With

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

Technical Committee Update

Technical Committee Update Technical Committee Update Yunsup Lee and Silviu Chiricescu yunsup@sifive.com silviu.chiricescu@baesystems.com RISC-V Foundation 1 Technical Committee Goals Maintain a roadmap of the RISC-V ISA Coordinate

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi

RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi Power Matters. TM RISC-V based core as a soft processor in FPGAs Chowdhary Musunuri Sr. Director, Solutions & Applications Microsemi chowdhary.musunuri@microsemi.com RIC217 1 Agenda A brief introduction

More information

Roadmap Directions for the RISC-V Architecture

Roadmap Directions for the RISC-V Architecture Roadmap Directions for the RISC-V Architecture Andes RISC-V Con November 13, 2018 Linley Gwennap, Principal Analyst About Linley Gwennap Founder, principal analyst, The Linley Group Leading vendor of technical

More information

Custom Silicon for all

Custom Silicon for all Custom Silicon for all Because Moore s Law only ends once Who is SiFive? Best-in-class team with technology depth and breadth Founders & Execs Key Leaders & Team Yunsup Lee CTO Krste Asanovic Chief Architect

More information

ARMv8-A Software Development

ARMv8-A Software Development ARMv8-A Software Development Course Description ARMv8-A software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop software for

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

NXP Unveils Its First ARM Cortex -M4 Based Controller Family

NXP Unveils Its First ARM Cortex -M4 Based Controller Family NXP s LPC4300 MCU with Coprocessor: NXP Unveils Its First ARM Cortex -M4 Based Controller Family By Frank Riemenschneider, Editor, Electronik Magazine At the Electronica trade show last fall in Munich,

More information

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits

Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Introducing the Spartan-6 & Virtex-6 FPGA Embedded Kits Overview ß Embedded Design Challenges ß Xilinx Embedded Platforms for Embedded Processing ß Introducing Spartan-6 and Virtex-6 FPGA Embedded Kits

More information

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library Research Collection Other Conference Item KISS PULPino - Updates on PULPino updates on PULPino Author(s): Pullini, Antonio; Gautschi, Michael; Gürkaynak, Frank Kagan; Glaser, Florian; Mach, Stefan; Rovere,

More information

RISC-V CUSTOMIZATION WITH STUDIO 8

RISC-V CUSTOMIZATION WITH STUDIO 8 RISC-V CUSTOMIZATION WITH STUDIO 8 Zdeněk Přikryl CTO, Codasip GmbH WHO IS CODASIP Leading provider of RISC-V processor IP Introduced its first RISC-V processor in November 2015 Offers its own portfolio

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

Mi-V RISC-V Ecosystem

Mi-V RISC-V Ecosystem Power Matters. TM Mi-V RISC-V Ecosystem 1 Agenda RISC-V Primer Mi-V Ecosystem RISC-V Soft Processor Offerings Tools Debug Benchmarks Kits Mi-V Ecosystem for Linux Unleashed Expansion Demo Machine Learning

More information

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1

ARM Cortex-A9 ARM v7-a. A programmer s perspective Part1 ARM Cortex-A9 ARM v7-a A programmer s perspective Part1 ARM: Advanced RISC Machine First appeared in 1985 as Acorn RISC Machine from Acorn Computers in Manchester England Limited success outcompeted by

More information

Contents of this presentation: Some words about the ARM company

Contents of this presentation: Some words about the ARM company The architecture of the ARM cores Contents of this presentation: Some words about the ARM company The ARM's Core Families and their benefits Explanation of the ARM architecture Architecture details, features

More information

Product Series SoC Solutions Product Series 2016

Product Series SoC Solutions Product Series 2016 Product Series Why SPI? or We will discuss why Serial Flash chips are used in many products. What are the advantages and some of the disadvantages. We will explore how SoC Solutions SPI and QSPI IP Cores

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT THE FREE AND OPEN RISC INSTRUCTION SET ARCHITECTURE Codasip is the leading provider of RISC-V processor IP Codasip Bk: A portfolio of RISC-V processors Uniquely

More information

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware.

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware. Department of Computer Science, Institute for System Architecture, Operating Systems Group Real-Time Systems '08 / '09 Hardware Marcus Völp Outlook Hardware is Source of Unpredictability Caches Pipeline

More information

Andes Embedded Processors

Andes Embedded Processors Andes Embedded Processors WWW.ANDESTECH.COM What is A SoC? SOC: A complex chip with functionality of a system with: Generic modules: CPU s, memory controller, generic interfaces such as PCI/USB/UART/ROM.

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Each Milliwatt Matters

Each Milliwatt Matters Each Milliwatt Matters Ultra High Efficiency Application Processors Govind Wathan Product Manager, CPG ARM Tech Symposia China 2015 November 2015 Ultra High Efficiency Processors Used in Diverse Markets

More information

Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators

Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators Huzefa Cutlerywala, VP Sales and Tech Solutions July 18th, 2018 How did turn into

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 September 2015 Announcements HW#1 will be posted today, due next Thursday. I will send out

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Experiences from Andes Technology. Alan Kao, Zong Li Andes Technology LPC'18

Experiences from Andes Technology. Alan Kao, Zong Li Andes Technology LPC'18 Experiences from Andes Technology Alan Kao, Zong Li Andes Technology 2018/11/15 @ LPC'18 About us A company in Taiwan since 2005 (went public in 2017) A pure-play IP vendor with 140+ licenses >2.5B Andes-Embedded

More information

RISC-V as a basis for ASIP design A Quantum-Resistant IoT Security Implementation

RISC-V as a basis for ASIP design A Quantum-Resistant IoT Security Implementation RISC-V as a basis for ASIP design A Quantum-Resistant IoT Security Implementation Agenda Introductions RISC-V and ASIPs Implementation of Security Methods Performance results Codasip and SecureRF ASIP

More information

SiFive FE310-G000 Manual c SiFive, Inc.

SiFive FE310-G000 Manual c SiFive, Inc. SiFive FE310-G000 Manual 1.0.3 c SiFive, Inc. 2 SiFive FE310-G000 Manual 1.0.3 SiFive FE310-G000 Manual Proprietary Notice Copyright c 2016-2017, SiFive Inc. All rights reserved. Information in this document

More information

Design Center KM211. from Idea to Implementation!!! IC Design & IP

Design Center KM211. from Idea to Implementation!!! IC Design & IP Design Center KM211 from Idea to Implementation!!! IC Design & IP Company profile Size: Location: Highly professional team of 40+ engineers, with solid mass production experience SEZ Zelenograd (Russian

More information

The ARM10 Family of Advanced Microprocessor Cores

The ARM10 Family of Advanced Microprocessor Cores The ARM10 Family of Advanced Microprocessor Cores Stephen Hill ARM Austin Design Center 1 Agenda Design overview Microarchitecture ARM10 o o Memory System Interrupt response 3. Power o o 4. VFP10 ETM10

More information

How to Select Hardware forvolume IoT Deployment?

How to Select Hardware forvolume IoT Deployment? How to Select Hardware forvolume IoT Deployment? Peter.Aldworth@arm.com 1 How to Select Hardware forvolume IoT Deployment Very broad topic! Let s start by focusing on MCU selection: 8/16-bit vs ARM Cortex-M

More information

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform

An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform An H.264/AVC Main Profile Video Decoder Accelerator in a Multimedia SOC Platform Youn-Long Lin Department of Computer Science National Tsing Hua University Hsin-Chu, TAIWAN 300 ylin@cs.nthu.edu.tw 2006/08/16

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit

Multicore and MIPS: Creating the next generation of SoCs. Jim Whittaker EVP MIPS Business Unit Multicore and MIPS: Creating the next generation of SoCs Jim Whittaker EVP MIPS Business Unit www.imgtec.com Many new opportunities Wearables Home wireless for everything Automation & Robotics ADAS and

More information

SDSoC: Session 1

SDSoC: Session 1 SDSoC: Session 1 ADAM@ADIUVOENGINEERING.COM What is SDSoC SDSoC is a system optimising compiler which allows us to optimise Zynq PS / PL Zynq MPSoC PS / PL MicroBlaze What does this mean? Following the

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

The World Leader in High Performance Signal Processing Solutions. DSP Processors

The World Leader in High Performance Signal Processing Solutions. DSP Processors The World Leader in High Performance Signal Processing Solutions DSP Processors NDA required until November 11, 2008 Analog Devices Processors Broad Choice of DSPs Blackfin Media Enabled, 16/32- bit fixed

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

Virtual Array Architecture for efpga April 5, 2018

Virtual Array Architecture for efpga April 5, 2018 Virtual Array Architecture for efpga April 5, 2018 Cheng C. Wang SVP of Engineering Copyright 2014-2018 Flex Logix Technologies, Inc. Why the Need for Virtual Arrays? Security: Keep your secret sauce design

More information

RISC-V Rocket Chip SoC Generator in Chisel. Yunsup Lee UC Berkeley

RISC-V Rocket Chip SoC Generator in Chisel. Yunsup Lee UC Berkeley RISC-V Rocket Chip SoC Generator in Chisel Yunsup Lee UC Berkeley yunsup@eecs.berkeley.edu What is the Rocket Chip SoC Generator?! Parameterized SoC generator written in Chisel! Generates Tiles - (Rocket)

More information

Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core.

Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core. Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core. 1 The purpose of this Renesas Interactive module is to introduce the RX architecture and key

More information

FreeBSD/RISC-V project

FreeBSD/RISC-V project FreeBSD/RISC-V project Ruslan Bukin University of Cambridge Computer Laboratory August 25, 2016 Approved for public release; distribution is unlimited. This research is sponsored by the Defense Advanced

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture

EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2014 Agenda

More information

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH

Support for RISC-V. Lauterbach GmbH. Bob Kupyn Lauterbach Markus Goehrle - Lauterbach GmbH Company Lauterbach Profile Debug Support for RISC-V Lauterbach GmbH Bob Kupyn Lauterbach USA @2016 Markus Goehrle - Lauterbach GmbH Leading Manufacturer of Microprocessor Development Tools Founded in 1979

More information

Tile Processor (TILEPro64)

Tile Processor (TILEPro64) Tile Processor Case Study of Contemporary Multicore Fall 2010 Agarwal 6.173 1 Tile Processor (TILEPro64) Performance # of cores On-chip cache (MB) Cache coherency Operations (16/32-bit BOPS) On chip bandwidth

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 4 September 2014 Announcements HW#1 will be posted tomorrow (Friday), due next Thursday Working

More information

ECE 471 Embedded Systems Lecture 3

ECE 471 Embedded Systems Lecture 3 ECE 471 Embedded Systems Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 September 2018 Announcements New classroom: Stevens 365 HW#1 was posted, due Friday Reminder:

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

esi-risc Development Suite Getting Started Guide

esi-risc Development Suite Getting Started Guide 1 Contents 1 Contents 2 2 Overview 3 3 Starting the Integrated Development Environment 4 4 Hello World Tutorial 5 5 Next Steps 8 6 Support 10 Version 2.5 2 of 10 2011 EnSilica Ltd, All Rights Reserved

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Microkernels and Portability. What is Portability wrt Operating Systems? Reuse of code for different platforms and processor architectures.

Microkernels and Portability. What is Portability wrt Operating Systems? Reuse of code for different platforms and processor architectures. Microkernels and Portability What is Portability wrt Operating Systems? Reuse of code for different platforms and processor architectures. Contents Overview History Towards Portability L4 Microkernels

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Advance CPU Design. MMX technology. Computer Architectures. Tien-Fu Chen. National Chung Cheng Univ. ! Basic concepts

Advance CPU Design. MMX technology. Computer Architectures. Tien-Fu Chen. National Chung Cheng Univ. ! Basic concepts Computer Architectures Advance CPU Design Tien-Fu Chen National Chung Cheng Univ. Adv CPU-0 MMX technology! Basic concepts " small native data types " compute-intensive operations " a lot of inherent parallelism

More information