Challenges at Circuits Designs for Nonvolatile Memory and Logics in Dependable Systems

Size: px
Start display at page:

Download "Challenges at Circuits Designs for Nonvolatile Memory and Logics in Dependable Systems"

Transcription

1 Challenges at Circuits Designs for Nonvolatile Memory and Logics in Dependable Systems Dec. 6, JST DVLSI, Tokyo, Japan Prof. Meng-Fan (Marvin) Chang Memory Design Lab. (MDL) Department of Electrical Engineering National Tsing Hua University (NTHU), Taiwan

2 Outline Nonvolatile memory (NVM) and logics (nvlogics) in dependable systems Challenges at designing ReRAM Challenges at designing Flash Challenges at designing 3D NVM & nvlogics Summary # 2

3 Volatile vs. Nonvolatile Memory Volatile memory: Fast, low VDDmin High endurance Working memory Access time(ns) SRAM NVM MRAM DRAM VDDmin(V) Flash (charge-pump) PCM ReRAM Low VDDmin Power-off Data storage Volatile: High Speed operation Non-volatile memory (NVM): Slow, high write-voltage Limited endurance Power-off storage Two-macros (volatile+ NVM) structure in SoCs # 3

4 Power NVM in Dependable Systems Typical Chips: SRAM+ NVM + Logics Logics Program read + computing Data stored to NVM Data restored to SRAM SRAM Power-off NVM Time NVM enables power-off operations Provides power-off storage for program and data (RAM) Provides states storage for selected logics (flip-flops) Reduce standby power Reduce thermal effect Reduce voltage/thermal stress time # 4

5 Power Systems Using NVM - Challenges Typical Chips: SRAM+ NVM + Logics Logics Program read + computing Data stored to NVM (slow & large power) Data restored to SRAM (slow) SRAM Power-off NVM Today s challenges Idle period: Wasted Power & Voltage/Thermal stress Time Large store power + long store time => Limited power on/off frequency => Vulnerable to sudden power failure Slow restore (wake-up/read) time Lost local states/data for logics # 5

6 Using Nonvolatile Logics (nvlogic) Logic Chip nvlogic Chip nvsram Macro NVM cell NVM SRAM nvsram cell SRAM cell eflash NVM Flip-Flop Two-Macro solution Complex interface Serial data transfer Slow store/restore Large area penalty Lost local states Nonvolatile SRAM + Flip-flop SRAM + NVM within a cell Direct connect (nvsram) Flip-Flop + NVM (nvff) Fast power on/off parallel store/restore operations # 6

7 Power Power Using Emerging NVM and nvlogics computing Regular-voltage operation computing + store + restore Data stored to NVM (Slow & Large power) Data restore (slow) Power-off Power-off Time Time Frequent on/off + Low-VDD operation Preferred NVM Low-power write Low write-voltage eliminate HV devices Fast read and write Low-voltage read Using nvlogics Fast store/restore Store local states => Enable frequent power interrupts Low-voltage nvlogic Reduce V/T stress # 7

8 Recent Researches in MDL, NTHU NVM & ReRAM 0.29V NAND-ROM ISSCC nA CSA OTP ISSCC ns 4Mb ReRAM ISSCC V 4Mb ReRAM ISSCC Mb BJT- ReRAM (4.2ns) VLSI V ReRAM ISSCC D Memory ReRAM+ SRAM 3D (TSV) SRAM 3D NAND (MXIC) VLSI 2010 VLSI 2011 VLSI 2013 Low Voltage SRAM 540mV A2P8T VLSI mV Z8T VLSI 2010 SRAM Char. (TSMC) VLSI mV L7T VLSI mV D2AW8T VLSI 2013 enose L7T ISSCC 2014 # 8

9 Challenges at ReRAM Designs Examples: High-Speed ReRAM Area-Efficient ReRAM Low-Voltage ReRAM

10 Recent ReRAM Devices Larger write current is required for High uniformity, long data retention, Rapid write => Large-area switches # 10

11 Recent ReRAM Macros ~ Mb ReRAM (1T1R) JSSC Mb ReRAM (1T1R, 7.2ns-R/W) ISSCC (ITRI+NTHU) 4Mb ReRAM (1T1R, 0.5V-R) ISSCC 32Gb ReRAM (Cross-point) ISSCC Mb ReRAM (1T1R, 0.27V-R) ISSCC 16Gb ReRAM ISSCC 64Mb ReRAM (3D Cross-point) ISSCC 2010 (Unity) 4Mb ReRAM (1T1R) ISSCC 8Mb ReRAM (Cross-point) ISSCC ISSCC 1Mb BJT-ReRAM (0T1R, 4.2ns-Read) VLSI Symp. Embedded (1T1R) Mass-storage (Cross-point) # 11

12 ReRAM Challenges: Disturb vs. Bias Write operation Set: HRS (Hi-R) to LRS Reset: LRS (Low-R) to HRS Read operation Large V R cause read disturb => Requires low BL bias (V BL-R ) SET RESET Read WL V G_SET VDD VDD BL V SET 0 V BL-R SL 0 V RESET 0 State LRS( R L ) HRS( R H ) 1 / 0 I I LRS I HRS I CELL Lee, H. Y., VLSI-TSA 2010 # 12

13 ReRAM Challenges R Variation Wide resistance distribution Large resistance (R) and I LRS variation Ultra-small-R reference cells cause large/tail I REF 3,000 2,500 Sensing Window R L V READ R L V READ R L R H V READ R L Number of Samples 2,000 1,500 1, I HRS I REF V DD V DD V DD 1-cell Ref. 2-cell Ref. R H +R L Avg. 1-cell Reference 2-cell Reference I LRS RH+RL H L Avg. Ref. LRS Cell HRS Cell Read Fail LRS Cell / Ref. Current (ua) # 13

14 ReRAM Challenges: Bias & Speed Bitline bias fluctuation BL-bias cannot exceed 0.3V Conventional dynamic V BL generation Sensitive to process and Temp. variation Read access time Small I CELL MLC, low V BL Read vs. write speed Slow read speed for long BL (large capacity) # 14

15 A High-Speed ReRAM Device - ITRI BL 1T-1R configuration Resistive Device WL M SEL SL MLC # 15

16 Example: High-Speed ReRAM Parallel-Series Reference-Cell (PSRC) Narrow reference current (I REF ) distribution Process-Temperature-Aware Dynamic BL-bias circuit (PTADB) Stable BL bias to avoid read disturb # 16

17 Example: High-Speed ReRAM 4Mb High-Speed ReRAM: 7.2ns random read/write access time Small reference variation High-speed read circuit Read disturb, R-variation CBRAM (2007) PCM (ISSCC 2010) STT-RAM (2010) Access Time (ns) MRAM (2008) This Work MRAM (2007) MRAM (ISSCC 2010) MRAM (ISSCC 2009) Kb 1Mb Capacity 10Mb 100Mb SS Sheu & MF Chang, ISSCC, 2011 # 17

18 VDD Low-VDD Read Challenges Use RRCS for read => Removal of BL clamper 1V CM/Diode (M1) Headroom BL Clamper (BLC) Headroom 0.3V BL Bias (V BL ) 0.05V CM-CSA + BLC Lower VDD 0.75V RRCS 0.6V 0.25V Body-Drain-Driven CSA (BDD-CSA) CM-CSA w/o BLC => Reduced SA headroom RRCS + BDD-CSA 0.4V (high yield) Dynamic & Higher V BL (0.35V~ 0.2V) BDD-CSA # 18

19 Example: Low-VDD Read Scheme M3 M4 I BL I REF Body-Drain-Driven (BDD): 1 st -stage (M1/M2) Active mode (Ymux on) : Standby mode SE=0, V MAT = V REF = VDD BL=DBL= 0V (Dummy BL) BL-V MAT charge sharing causes drop in V MAT M1/M2 precharge BL/DBL # 19

20 Example: Low-VDD Read Scheme Faster read speed at low VDD 2.9x faster than voltage-mode SA (VSA) at VDD=0.5V 2.1x faster than conventional CSA (CM-CSA) at VDD=0.5V # 20

21 Example: Low-VDD ReRAM Macro MF Chang, ISSCC 2012 # 21

22 Examples: High-Density ReRAM Cells Vertical Parasitic-BJT (VPBJT) Logic process, npn Emitter: NLDD implant Base: thin self-aligned P-pocket Collector: N-Well (SL) Min. 4F 2 # 22

23 VPBJT-ReRAM vs. NMOS-ReRAM Larger current density >10x than NMOS Enable smaller cell area + sufficient write current Smaller macro area 4~7x reduction Larger capacity, greater reduction (Measured results) # 23

24 Thermal-Aware Bitline Bias (TABB) Dynamic bitline (BL) bias voltage (V BL-R ) Track V BE across temperatures (T) Constant V R across T => Larger I CELL MF Chang, VLSI 2013 # 24

25 Examples: High-Density ReRAM Macros Cross-process scalability Technology 0.18um Logic 65nm Logic Capacity 1Mb (8b-IO) 2Mb (16b-IO) Sub-blocks 256Kb x 4 1Mb X 2 RRAM Cell HfO 2 RRAM (NTHU+ITRI) TION RRAM (NTHU+TSMC) Read Power 100Mhz 100MHz Read Speed 4.2ns 4.7ns Write Speed < 5ns <10us Testchip Size (w/ test-modea) 3157um x 3907um 1900um x 2580um Interface Asyn. NOR Asyn. NOR 1. Pure logic 1. Fast Write Features process 2. BEOL RRAM 2. Contact layer MF Chang, VLSI 2013 # 25

26 Challenges for Fast-Read NOR- Flash Example: Calibration-based CSA

27 Current Current Current-Mode Sense Ampliier (CSA) Read-path input offsets Current-Mirror (CM) Pairs Variations in BL bias, SA Reference current (Iref) Dout SA Cell current (Icell) device, Icell and Iref I PRE + - Current Mirror Device Mismatch Current Mirror I CELL0-TAIL Point-B I REF Point-A I CELL Reference Cell I SM0 <0 Cell Mismatch Array Cell Time I REF I PRE I OS-SUM I CELL0-TAIL I CELL1-TAIL I SM0 >0 Point-A ΔI CELL I SM1 Point-B I SM1 # 27

28 Concept of High-Speed CSA - AVB Asymmetric-Voltage-Biased (AVB) CSA Offset Sources CSA Operation BL Precharge (1) V BL, C BL variations (3) ( Input-stage (2) I REF variations V TH mismatch) V BL, Bias I REF generation I CELL I REF IV-Conversion (currentmirror,currentload,etc.) Sensing Operation V CP V RP (4) (V TH mismatch) Comparator (VCMP) Digital Out Conventional CM-CSA Proposed AVB-CSA Long T PEE to suppress (1) Asym. Voltage Bias (AVB) + Short T PEE Use ΔV AP to compensate (1)~(4) V TH Nulling for (4) V TH -nulling CSA Summed Read-path offset ( I OS-SUM ) = (1) +(2) + I OS-SA = (1) + (2) + (3) +(4) # 28

29 Dummy BL (DBL) BL Schematic of Proposed AVB-CSA Use inactive sub-array to provide dummy BLs for I REF With ΔI AP-OS = I OS-SUM to compensate offset ΔV AP option unit (VOU) provides trimmed ΔV AP to each AVB-CSA. (ΔV AP =V AP-CP V AP-RP ) Timer WL Drivers Selected cell k V AP-CP Voltage generator I CELL AVB-CSA WL eflash Array1 eflash Array2 M 3 M1 Shared with all I/O M5 BL + V AP-CP I CELL CP + M4 M 2 Trimming for each I/O - RP + V AP-RP I REF DBL M6 WE-/WP-/REF-Pages Programmable Dummy WL-driver V AP-CP [K-1:0] VOU V AP-CP # 29

30 High-Speed CSA - Measured Results TAC (ns) AVB Diasbled I CELL ~=3.1σ SE T AC _ Scan 1.15X DOUT 512-rows, VDD=1.2V T AC =4.5ns 1.16X 1.48X 512-rows, VDD=0.9V AVB Enabled 1.52X w/o AVB 2048-rows, VDD=1.2V 2048-rows, VDD=0.9V w/ AVB (ΔV AP =150mV) T AC =3.9ns Chip-delay(CLK-DOUT) = T AC + Path delay(clk_dff-dout) MF Chang, A-SSCC Mb Super Flash TSMC 90nm eflash process 1.15x 512-cells/BL, VDD=0.9V 1.52x 2048-cells/BL,VDD=0.9V Calibration time (<1% of test-time) 86.3% (Erase) 8.7% 5.3% (Prog.) Less than 0.5% test time overhead compared to regular test operations # 30

31 Challenges at 3D NVM Examples: 1. 3D Vertical-Gate (3DVG) NAND 2. 3D Sequential Layered NVM 3. 3D Nonvolatile Logics

32 FG SONOS/TANOS Published 3D NAND ~ Stacked NAND IEDM 2006 BiCS VLSI Symp P-BiCS VLSI Symp TCAT VLSI Symp Island-gate SSL decoded 3D VG VLSI Symp. PN diode decoded 3DVG VLSI Symp. IDG SSL decoded 3D VG VLSI Symp Multi-layer TFT IEDM 2006 Univ. of Tokyo S-SGT IEDM 2001 VSAT VLSI Symp VG-NAND VLSI Symp. 3D FG: DC -SF IEDM Hybridchannel 3D VG IMW Bit Line poly channel oxide DSL n+ B L n+ junction PWL WL63 WL00 PWL CSL Split-page 3DVG IEDM Metal control gate 3D FG VLSI Symp Simply stacked one etch Concept Various 3D NAND innovations # 32

33 3D Vertical-Gate (3DVG) NAND Etching is not perfectly vertical i.e. 500mV top-bottom Vth difference. Source: Hung and Lue (MXIC), IEDM 2013 # 33

34 Challenges of 3DVG NAND Cross-Layer Variation Layer Top Bottom Cell Vth Lower Higher Program speed PGM&RD Disturb less Slower Faster more => Require layer-aware scheme Higher failure rate than 2D NAND due to the process complexity Need more ECC bits => Need faster fail-bitdetection scheme Counts (A. U.) Forward Read Vt comparison of PL1 and PL2 ~0.5V V T (V) Page0 (PL1, bot) Page1 (PL1, bot) Page2 (PL1, bot) Page3 (PL1, bot) Page0 (PL2, top) Page1 (PL2, top) Page2 (PL2, top) Page3 (PL2, top) Layer[k] Layer[k] Disturbance P V Layer[1] TH Disturbance Bit Counts Larger Disturbance VLSI 2013, MXIC+NTHU Faster PGM Speed Layer[1] P V TH V TH Slide 34 # 34

35 Example: Layer-Aware-Program-Verify & Read Conventional PV Same target threshold voltage (V THP ) across layers Top layer (Layer[k]) program to higher V THP which causes endurance degradation Proposed LA-PV & R Different V THP across layers Lower V THP for Layer[k] to reduce endurance degradation VTH Distribution after Disturbance Bit Counts Layer[k] E Layer[1] E P V T Bit Counts Layer[k] E Layer[1] E SM2 H SM2' SM SM2 > SM V THP SM2' = SM SM Layer[k] P Layer[1] P V V TH THP[k] V THP[1] SM: Sensing Margin Slide 35 # 35

36 Example: Measurement Result of 3DVG-NAND Bit Count(A.U.) Bit Count(A.U.) MLC cell Vth distribution with LA-PV & R MLC W/o LAPV MLC W/ LAPV Vth (a.u.) Vth (a.u.) # 36

37 3D Sequential Layered (3DSL) NVM Layer 2 Layer 1 A low-thermal process: Less impact on gate dielectrics, S/D structures Available in NDL, Taiwan Layer 2 Layer μm 3D hybrid chip 100 nm 3D hybrid chip NDL/NTHU, IEDM 2013 TaN Eu +3 -APS dielectric Epi-like Si FE-like metal-oxide (Eu +3 -APS) NVM Design & Test Challenges Different cell performance across layers Different thermal-effect across layers In-process monitor/testing - Full function test? - At-speed test? To be appear in 2013 IEDM (highlight paper) 37 # 37

38 Example: 3D nvsram/nvlatch Cell Two 3D-stacked resistive device 6T SRAM RL RR 6T SRAM WM Rnv8T Rnv8T w/o RFS w/ RFS 2T RRAM switch: Q QB RSWL SWL RSWR BL/RRAM-CL sharing RSNM Write margin improves 1.64~2.4x VDDmin Trade WM for RSNM RSNM is improved 1.42x at TT corner => improves VDDmin Chou & Chang, NTHU/ITRI, Symp. VLSI 2010 / JSSC 2012 # 38

39 Example: 3D nvsram/nvlatch Cell On/Off Energy: Store/re-store energy Standby time vs. on/off frequency # 39

40 Example: 3D nvsram Macro A 16Kb 8T2R nvsram macro ITRI s RRAM um CMOS Low-VDDmin & Fast power-on/off speed Enable Logic-in-Memory Store Store time time (normalized) (a.u.) Store time (normalized) SRAM+ 12 MRAM This work T-SONOS SRAM+ PCM 10 5 X 10 6 X SRAM+ Flash Store Store Energy Store Energy Energy (normalized) (a.u.) 16Kb Rnv8T macro Chou & Chang, NTHU/ITRI, Symp. VLSI 2010 / JSSC 2012 # 40

41 Summary NVM in Dependable Systems Nonvolatile memory is one of the enablers for DS Power interrupts to reduce voltage and temp. stress Against sudden power failure Emerging memories X-RAM (STT, ReRAM,..), 3D memory Low power and fast read/write operations Enable nonvolatile logics Challenges for designing NVM Read disturbance, resistance variation, reference current generations, area/speed vs. write current etc. Silicon examples ReRAM: high-speed, low-voltage & area-efficient 3D-Memory: TSV-RAM, 3D-VG NAND, 3D-SL NVM Nonvolatile latch and SRAM Collaboration of system, circuit and device is needed # 41

42 Thank You for Your Attentions Acknowledgements NTHU, ITRI, NDL, TSMC and MXIC

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero High Density, High Reliability Carbon Nanotube NRAM Thomas Rueckes CTO Nantero Nantero Overview Founded in 2001 to develop nonvolatile memory using carbon nanotubes (CNT) for high density standalone and

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd.

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd. Performance & Reliability Driven Memory solution MacronixInternational Co., Ltd. About Macronix A Leading Non-Volatile Memory Solutions Provider Founded in 1989 Headquarters Hsin-Chu, Taiwan Total5280

More information

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions

Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Embedded Flash for Automotive Market. Increasing demand for performances, endurance and reliability in harsh conditions. Challenges and solutions Fausto Piazza Silicon Technology Development envm Memories

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii Digital Systems Semiconductor memories Departamentul de Bazele Electronicii Outline ROM memories ROM memories PROM memories EPROM memories EEPROM, Flash, MLC memories Applications with ROM memories extending

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Lecture 20: CAMs, ROMs, PLAs

Lecture 20: CAMs, ROMs, PLAs Lecture 2: CAMs, ROMs, PLAs Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 2: CAMs, ROMs, and PLAs CMOS VLSI Design 4th Ed. 2 CAMs Extension of ordinary memory (e.g.

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

CENG 4480 L09 Memory 3

CENG 4480 L09 Memory 3 CENG 4480 L09 Memory 3 Bei Yu Chapter 11 Memories Reference: CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 Memory Arrays Memory Arrays Random Access Memory Serial Access

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE24 - Spring 20 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework due on Wednesday Quiz # next Monday, March 7 2 Outline Last lecture Variability This lecture SRAM 3 Practical

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab Flash Memories Ramin Roosta Dept. of Computer Engineering EE 595 EDA / ASIC Design Lab Content Non-volatile memories Flash applications Industry standards Architectures Main reliability issues New cells

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

Logic based ReRAM assisted by selfadaptive circuit for embedded applications

Logic based ReRAM assisted by selfadaptive circuit for embedded applications Logic based ReRAM assisted by selfadaptive circuit for embedded applications Prof. Yinyin Lin ASIC and System Key Lab, Fudan University, Shanghai, 201203, China ª Motivation Outline ª Self-adaptive FS-DSUR

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 28: DRAM & Flash Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Review of Last Lecture

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 377-391 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3946 Novel Cell Array Noise Cancelling Design Scheme for Stacked Type MRAM

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

RRAM Crossbar Arrays for Storage Class Memory Applications : Throughput and Density Considerations

RRAM Crossbar Arrays for Storage Class Memory Applications : Throughput and Density Considerations RRAM Crossbar Arrays for Storage Class Memory Applications : Throughput and Density Considerations A. Levisse 1, B. Giraud 2, J.-P. Noel 2, M. Moreau 3, J.-M. Portal 3 1 Embedded Systems Laboratory (ESL),

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp

Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp Forthcoming Cross Point ReRAM Amigo Tsutsui Sony Semiconductor Solutions Corp ReRAM: High Speed and Low Power PCM Two states of phase change material Based on thermal operation Amorphous: low resistance

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS NSCore, Inc. http://www.nscore.com/ Outlines 1. Corporate Overview 2. Program, Read & Erase Mechanism 3. OTP IP Lineups 4. New MTP Technologies

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

Embedded Memory Alternatives

Embedded Memory Alternatives EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 26: Embedded Memory - Flash Slides Courtesy of Randy McKee, TI Embedded Memory Alternatives Courtesy Randy McKee, TI 2 1 3 4 2 5 SRAM 3

More information

512 Kbit / 1 Mbit / 2 Mbit / 4 Mbit SPI Serial Flash SST25VF512 / SST25VF010 / SST25VF020 / SST25VF040

512 Kbit / 1 Mbit / 2 Mbit / 4 Mbit SPI Serial Flash SST25VF512 / SST25VF010 / SST25VF020 / SST25VF040 FEATURES: SST25VF512 / 010 / 020 / 040512Kb / 1Mb / 2Mb / 4Mb Serial Peripheral Interface (SPI) flash memory Single 2.7-3.6V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode

More information

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes.

Fujitsu Semiconductor s development team focused on forming FLOTOX using only low-temperature processes. Fujitsu Semiconductor Successfully Embeds Flash Memory onto DDC Technology Further expands scope of the Mie Plant s process technology for low-power devices Yokohama, Japan, December 10, 2013 Fujitsu Semiconductor

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects M. Durlam, P. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. Engel, N. Rizzo, G. Grynkewich, B.

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality

Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality Analysis on Resistive Random Access Memory (RRAM) 1S1R Array Zizhen Jiang I. Introduction Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality and performance

More information

The impact of 3D storage solutions on the next generation of memory systems

The impact of 3D storage solutions on the next generation of memory systems The impact of 3D storage solutions on the next generation of memory systems DevelopEX 2017 Airport City Israel Avi Klein Engineering Fellow, Memory Technology Group Western Digital Corp October 31, 2017

More information

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013 A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias David Kidd August 26, 2013 1 HOTCHIPS 2013 Copyright 2013 SuVolta, Inc. All rights reserved. Agenda DDC transistor and PowerShrink platform

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 13 Memory and Interfaces 2005-3-1 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

Fault Injection Attacks on Emerging Non-Volatile Memories

Fault Injection Attacks on Emerging Non-Volatile Memories Lab of Green and Secure Integrated Circuit Systems (LOGICS) Fault Injection Attacks on Emerging Non-Volatile Memories Mohammad Nasim Imtiaz Khan and Swaroop Ghosh School of Electrical Engineering and Computer

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories 10 Memories This chapter described the memory cell architecture. After a general introduction, we detail the principles and implementation of static RAM, dynamic RAM, read-only memories, electrically erasable

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

Cache/Memory Optimization. - Krishna Parthaje

Cache/Memory Optimization. - Krishna Parthaje Cache/Memory Optimization - Krishna Parthaje Hybrid Cache Architecture Replacing SRAM Cache with Future Memory Technology Suji Lee, Jongpil Jung, and Chong-Min Kyung Department of Electrical Engineering,KAIST

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification Based on slides/material by Topic 7 Memory and Array Circuits K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html Digital Integrated Circuits:

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Lecture 14: CAMs, ROMs, and PLAs

Lecture 14: CAMs, ROMs, and PLAs Introduction to CMOS VLSI Design Lecture 4: CAMs, ROMs, and PLAs David Harris Harvey Mudd College Spring 24 Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 4: CAMs, ROMs,

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Recent Development and Progress in Nonvolatile Memory for Embedded Market

Recent Development and Progress in Nonvolatile Memory for Embedded Market Recent Development and Progress in Nonvolatile Memory for Embedded Market Saied Tehrani, Ph.D. Chief Technology Officer, Spansion Inc. July 11, 2012 1 Outline Market Trend for Nonvolatile Memory NOR Flash

More information