Self-Test Methodology for At-Speed Test of Crosstalk in Chip Interconnects

Size: px
Start display at page:

Download "Self-Test Methodology for At-Speed Test of Crosstalk in Chip Interconnects"

Transcription

1 Self-Test Methodology for At-Speed Test of Crosstalk i Chip Itercoects Xiaoliag Bai Departmet of ECE Uiversity of Califoria, Sa Diego xibai@ece.ucsd.edu Sujit Dey Departmet of ECE Uiversity of Califoria, Sa Diego dey@ece.ucsd.edu Jausz Rajski Metor Graphics Corporatio Wilsoville, OR jausz_rajski@metorg.com * Abstract The effect of crosstalk errors is most sigificat i highperformace circuits, madatig at-speed testig for crosstalk defects. This paper describes a self-test methodology that we have developed to eable o-chip at-speed testig of crosstalk defects i System-o-Chip itercoects. The self-test methodology is based o the Maximal Aggressor Fault Model [13], that eables testig of the itercoect with a liear umber of test patters. To eable self-testig of the itercoects, we have desiged efficiet o-chip test geerators ad error detectors to be embedded i ecessary cores; while the test geerators geerate test vectors for crosstalk faults, the error detectors aalyze the trasmissio of the test sequeces received from the itercoects, ad detect ay trasmissio errors. We have also desiged test cotrollers to iitiate ad maage test trasactios by activatig the appropriate test geerators ad error detectors, ad havig error diagosis capability. We have developed, simulated, ad sythesized parameterized HDL models of the selftest structures. We have applied the self-test methodology to test crosstalk defects i the buses of a DSP chip. Usig a ew highlevel crosstalk simulatio techique, we have validated the selftest methodology, icludig the self-test structures iserted i the DSP chip. 1. Itroductio Use of ao-meter techologies i System-o-Chips (SoC) icreases cross-couplig capacitace ad iductace betwee itercoects, leadig to severe crosstalk effects that may result i improper fuctioig of the chip. Several desig techiques, icludig physical desig [1][2] ad aalysis tools [3][4][5], are beig developed to help desig for margi ad miimize crosstalk problems. However, the amout of over desig may be prohibitive. Moreover, it is impossible to aticipate i advace the full rage of process variatios, ad maufacturig defects may sigificatly aggravate the cross-couplig effects. Hece, there is a critical eed to develop testig techiques for maufacturig defects that may produce crosstalk effects. Cross-couplig betwee a pair of itercoects ca result i two differet crosstalk effects: a glitch, or a delayed trasitio, depedig o the ature of sigal trasitios at the itercoects, show i Figure 1(a) ad 1(b) respectively. I additio to glitches ad delays, presece of sigificat couplig iductace ca result * This work is supported by the Semicoductor Research Corporatio uder Cotract #98-TJ-648 z z z Figure 1 - (a) Glitch, (b) Delay, ad (c) Oscillatios i damped voltage oscillatios superimposed o top of a glitch or delay, as illustrated i Figure 1(c). If the dampig is large eough, the effects of this third case may be approximated by oe of the first two cases. I this paper, we focus o testig for couplig capacitace iduced glitch ad delay errors. Sice the crosstalk effects will be most evidet i highfrequecy chips, it is importat to be able to test such chips at the operatioal speed of the system. However, as described i the 1999 ITRS [6], while ASIC speeds have improved at 3% per year, exteral testers accuracy for timig sigal resolutio at ASIC pis have improved oly at a rate of 12 % per year. Moreover, due to demads for higher speed, icreased pi cout, icreased memory, ad greater accuracy alog with mixed digital ad aalog sigals, the test equipmet cost ca rise toward US $2 M. Hece, to facilitate at-speed testig, as well as circumvet the prohibitively risig cost of exteral ATEs, we address the problem of self-testig for crosstalk i System-o-Chip itercoects. Empirical data has show that crosstalk effects are most sigificat i log itercoects [3][13]. With the sharply icreasig umber of compoets ad cores i a sigle chip, global itercoects will domiate future System-o-Chips. Figure 2 shows a example system chip. It comprises of several cores, C1-C12, ad several bus structures, both iteral to cores as well as at the system-level. Iside each compoet, like the CPU core C1 show i Figure 2, the blocks like the ALU, multiplier, shifter, istructio decode uit ad program couter commuicate through umerous buses. At the system-level, the cores commuicate usig a hierarchy of buses, havig differet badwidth, speed, power ad other characteristics, as well as umerous core-core itercoects, like the itercoects betwee the MPEG decoder ad iterface core show i Figure 2. Cosequetly, we focus o eablig at-speed testig of Systemo-Chip itercoects, like buses ad other iter-core wires. Our self-test methodology ivolves isertig self-test structures, like test geerators ad error detectors i appropriate cores, ad a global test cotroller, to coordiate the self-test of the SoC itercoects, as show i Figure 2. Several crosstalk extractio ad aalysis methods [4][5] have bee recetly developed; while useful for desig validatio, they caot be used for maufacturig testig, ad the geeratio of the simulatio vectors is ot clear. Research has also started i test patter geeratio for crosstalk oise [7][8]. The techiques have focused o test geeratio for glitches ad delay faults itroduced by cross-couplig capacitace i gate level circuits, ad are ot applicable to test SoC itercoects. Moreover, while several self-testig techiques, icludig Built-I Self-Test (BIST), have bee developed for other maufacturig defects ad

2 faults, like stuck-at ad delay faults, o effort has bee reported to eable at-speed testig of cross-couplig defects, icludig ay self-test techiques for crosstalk. Our self-test methodology of SoC itercoects is based o the Maximal Aggressor Fault Model that was reported ad validated i [13]. We will ext briefly review the itercoect fault model, ad the correspodig test trasitios that are required to test the itercoect faults. 1.1 Itercoect Crosstalk Fault Model ad Tests If the cross-couplig problem has to be cosidered at the process level, the umber of possible process variatios ad defects that eed to be cosidered ca be extesive eve for a pair of itercoects. For wide buses, cosiderig all such variatios explicitly is clearly prohibitive. At the circuit level, a courser mesh of lumped circuit elemets ca describe the cumulative effect of process variatios behaviorally, but the resultig fault space is still too large. Hece, the eed for a abstract fault model which will cover all the crosstalk defects with a small umber of faults. The Maximal Aggressor Fault Model (MAFM), is a high level represetatio of all the physical defects ad process variatios that lead to oe of the four crosstalk errors, positive glitch(g p ), egative glitch (g ), risig delay (d r ), fallig delay(d f ), o the victim wire of the set of itercoects uder test [13]. All the other wires are desigated aggressors, ad act collectively to geerate the glitch or delay error o the victim. Figure 3 shows the trasitios eeded o the aggressor/victim itercoects to produce the four error types o victim wire. These are the uique Maximal Aggressor tests that are eeded for the correspodig four crosstalk faults for victim Test for g p Self-Test Structures Test for g Test for d f Test for d r Figure 3 Vectors, victim ad aggressors of MAF model For a set of N itercoects to be tested, a total of 4N faults eed to be tested, requirig 4N 2-patter tests. Note that these 4N faults cover all the possible physical defects ad process variatios that ca lead to ay crosstalk error effect o ay of the N itercoects. Hece, a Maximal Aggressor (MA) test set for all the MAFM faults will cover all the crosstalk defects affectig the N itercoects. Sice the required MA tests are kow a-priori, if self-test structures ca be iserted i the SoC to geerate all the required MA tests, the resultig self-test methodology will be able to perform o-chip at-speed testig of SoC itercoects, with 1% coverage of crosstalk defects. C1 C12 CPU Cache Bus Cache C8 C9 MPEG C2 Video C11 UDL UART Arbiter Decoder Ecoder Iterface C7 32-bit Processor Bus Bridge 8-bit Peripheral Bus Exteral Test RAM RAM DMA UDL BIU C1 Cotroller C3 C4 C5 C6 8 Exteral Bus Figure 2 System-o-Chip showig buses, itercoects ad embedded self-test structures 1.2 Paper Outlie I Sectio 2, we explai the requiremets ad selectio of test trasactios to test the global itercoects of system chips. I Sectio 3, we will describe the self-test methodology that we have developed, which ivolves the isertio of test geerators, error detectors, ad global test cotrollers i the system chip, as show i Figure 2. I Sectio 4, we report o the applicatio of our self-test methodology o a DSP chip, ad the validatio of the self-test structures usig a high-level crosstalk simulatio method. Sectio 5 cocludes the paper. 2. Test Requiremets ad Test Trasactios Testig the bus ad other core-core itercoects i a SoC for crosstalk imposes several requiremets o the test vectors applied. The followig issues have to be addressed while decidig o a set of test trasactios, which should covers testig of all the ormal core-core trasactios. Bi-directioal trasactios: Because of trasmissio lie effects ad variatios i drivers ad loads coected to buses ad core-core itercoects, crosstalk may vary whe itercoects are drive from differet directios. For buses that are bidirectioal i ormal operatio, testig has to be coducted i both directios. Core-to-Core trasactios: Every core-to-core trasactio used i ormal operatio must be tested, sice every core-core trasactio ivolves a uique set of itercoects, drive stregths, ad loads. Cosider the system show i Figure 4 cosistig of 3 cores, sharig some itercoects (bus) betwee them. Though testig the iter-core commuicatio C1 C3 does exercise the shared itercoects/bus, the above test is ot eough to test C2 C3, sice the latter ivolves a differet set of itercoects, drive stregths, ad loads. If all the commuicatios C1 C3, C2 C3, ad C1 C2 are part of the ormal system operatio, the all three pairs eed to be tested separately. Dyamic bus sizig: For geeral-purpose buses shared amog several cores, the etire bus width may ot be utilized for some trasactios. Suppose i the system show i Figure 4, the C2 C3 trasactio requires oly bits of the 32-bit bus. Cosideratio must be give to the state of the uused lies durig testig. They may be biased to a logic value, floatig, or i trasitio. The differece betwee these cases is illustrated i Figure 5. This diagram illustrates a positive glitch test o a threewire bus of which oly two wires are used durig ormal operatio. Depedig o the state of the uused lie durig testig, the eergy i the geerated glitch may chage dramatically. For testig specific bus trasactios with reduced bus width, the state of the uused lies must reflect their actual operatioal state. Otherwise, the test may lead to overly pessimistic or optimistic testig i which a glitch (or delay) may be geerated that is too large or too small. Split bus trasactios: Split bus trasactios occur whe a bus is partitioed betwee two simultaeous but uassociated bus trasactios, each with reduced width. Usig the same reasoig

3 C1 C2 Glitch Eergy give for dyamic bus sizig, the trasactios must be cosidered simultaeously whe testig. For example, i Figure 2, cosider a situatio durig ormal operatio whe the processor bus is split for a C2 to C3 trasactio ad a simultaeous C4 to C6 trasactio. Whe testig a victim lie i the C2 to C3 trasactio, alog with the aggressor lies i the C2 to C3 path, all C4 to C6 lies must also be cosidered aggressors i order to geerate the same amout of crosstalk as that see durig ormal operatio. Defied by the fuctio of the system, there is a prescribed set of valid iter-core trasactios durig ormal operatio. As show above, the cosideratio of bi-directioal, core to core, ad split trasactios, as well as dyamic bus sizig requires that the set of iter-core trasactios for testig mimic those i the ormal operatio trasactio set. C3 Figure 4 -Testig core-to-core itercoects I determiig the set of test trasactios, oe way to esure correct ad complete coverage is to perform the etire set of valid operatioal trasactios. This, however, may be more testig tha ecessary. For a operatioal set of trasactios, certai trasactios i the set may cover the fault situatio of other trasactio i the set. Additioally, a umber of trasactios i the set may be testable simultaeously. 3. Self-Test Structures For each core to core test trasactio, our self-testig methodology requires a test geerator i the bus/itercoects iterface of the source core ad a error detector i the bus/itercoects iterface of the destiatio core. For example, i the SoC show i Figure 2, if we pla to test the trasactio from core C1 (CPU) to core C3 (RAM), a test geerator is iserted at the output of the CPU core, ad a error detector is iserted at the iputs of the RAM core. The test vector is lauched o the bus uder test by the test geerator(s) o oe clock edge from the source core(s), ad the measured for logical cosistecy at the other ed of the bus by the error detector(s) i the destiatio core(s) o the subsequet clock edge with the clock ruig at operatioal speed. Sice drivers ad loads of the cores play a critical role i crosstalk oise, the test geerators/error detectors must be located before the core s buffer coectios to the bus. Additioally, to select ad activate the appropriate test geerators ad error detectors, a global test cotroller is also Y 2 Test for g p Y 3 High-Z Aggressor Uused Active Lies Figure 5- Glitch eergy relatioship to state of uused bus lies required. Next, we will describe our desigs for the test geerator, error detector, ad test cotroller. 3.1 Test Geerator Each possible fault g p, g, d f, ad d r o a victim wire of a - bit wide bus requires a two-vector test sequece, as show i Figure 3. However, the test vectors ca be overlapped such that a six-vector test sequece ca test all the four possible faults o each victim wire of the bus/global itercoectio, as show i Figure 6 (a). The test sequece has several iterestig properties, eablig very efficiet desigs of the test geerators ad error detectors. For example, for each -bit test vector, there are oly two distict values eeded: the value o the victim wire, ad a value that is idetical o each aggressor wire. Figure 6 (b) shows our test geerator desig based o the above property. The fiite state machie geerates the two distict values, victim ad aggressor, i each state, s1 to s6. A state traversal from s1 to s6 correspods to geeratio of the six test vectors, durig which oe of the bus lies will be cofigured as a victim (receive the victim value), ad the rest of the bus wires will be cofigured as aggressors (receive the aggressor value). The cofiguratio is achieved by the victim couter, which couts from victim to victim -1, ad the decoder, which activates the select sigal q i of the appropriate multiplexer to eable bus wire b i to be the victim, ad every other wire to be a aggressor. The victim couter is reset by the reset sigal whe the FSM receives the start sigal T eable from the global test cotroller, ad makes a trasitio to state s1 to start geeratig the 1st vector. At the ed of every s1-s6 traversal, havig geerated all the tests for the victim wire, the FSM returs to state s1, settig the eable sigal to advace the victim couter. After the completio of 6-vector sequeces (upo receivig sigal q -1 i state s6, the FSM returs to the idle state s, ad stays there util T eable is received agai. 3.2 Error Detector Error detectio at the destiatio cosists of verifyig the correctess of the two-vector trasitio pairs. Figure 7 illustrates the possible desig of a error detector. It utilize a XOR etwork that compares the icomig vectors to the test vectors geerated by a local test geerator that is idetical to the source test geerator. The cofiguratio show i Figure 7 allows the test geerator to be utilized for both test geeratio ad error Bus Bit Positios Tests N i+1 i i g p g d f d r : Bus wire i q Couter (log2) 1 (log 2-1) log2 to Decoder q 1 q -1 reset eable S3 S2 Teable=1 S1 S4 S6 S S5 Output:(reset,eable,victim,aggressor) victim aggressors q 1 q 1 1 q -1 1 b.. (a) (b) Figure 6-(a) 6-vector Maximal Aggressor test sequece, (b) Desig of Test Geerator b 1 b -1 Teable= q -1 =

4 T mod Core Output Bus Core Test Geerator Figure 7-Desig of Error Detector Aalyzer Error Flag detectio. As show i Figure 7, T mode multiplexes the test geerator output with the ormal core output for drivig test vectors oto the bus. For cores with bi-directioal trasactios, we combied the test geerator ad error detector as oe testig compoet, amed. The testig compoet uses a additioal bit to receive mode iformatio, which iforms it to act as either a test geerator or a error detector as eeded. 3.3 Implemetatio of Parameterized Test Geerator/Error Detector We have developed parameterized HDL simulatio ad sythesis models for the proposed test geerator ad error detector desigs described above. The models are parameterized i terms of the umber of itercoects edig with a geerator/detector. Hece, they ca be easily istatiated to fit the differet width requiremets of the cores/itercoects of a system chip. The models have bee sythesized ad verified for various bit widths, usig Leoardo sythesis tool (Metor Graphics), ad a.35 µm techology library. I Table 1, we report the area overhead (i terms of 2-iput NAND gates) of the test geerators, error detectors, ad combied test geerator-error detector () sythesized for differet bit widths. For example, a 32-bit Test Width Test Error Geerator Detector 8-Bit Bit Bit Table 1- Overhead of Test Geerators, Error Detectors Geerator eeds iput NAND gates. Compared to the size of typical cores, like the ARM7TDMI processor core with 59K trasistors, ad the NEC V85 micro-cotroller core with 72K trasistors, the test geerator ad error detector overheads are very omial. 3.4 Global Test Cotroller For the BIST hardware described above, system level testig requires global sychroizatio of geerators ad error detectors ad selectio of cores ivolved i each test. The desig of the global test cotroller depeds extesively o the architecture of the system ad hece, optimal implemetatio will vary from system to system. The processor bus i Figure 2 for istace, may have distributed arbitratio where selectio of cores is accomplished through address decode logic cotaied i each core. I this case, test cotrol that is also distributed ad shares the same decode logic may be optimal. I cotrast, bus arbitratio may be cetralized ito oe arbiter that cotrols cores with select lies. Here, a test cotroller that is combied with the arbiter logic may be optimal. I the followig part, we describe our desig of a geeric global test cotroller that disables ormal bus arbitratio ad coducts the tests idepedetly. Figure 8 illustrates the cotroller. The cotroller coducts a sequece of core to core test trasactios by eablig a set of geerators ad error detectors via select lies ad moitorig for errors through flags set by the error detectors. The cotroller is cetered aroud a look up table (LUT) that cotais iformatio o which eable lies are activated ad how may test vectors are ru for each test trasactio. It also cotais iformatio for bus splittig. The LUT is a result of selectig ad schedulig test trasactios. To start the test, the ormal bus arbitratio logic is disabled ad the state machie i the test cotroller is tured o. Durig the test, the state machie advaces a trasactio couter that sequeces through each test trasactio by poitig to a row i the LUT that cotais iformatio o the curret test trasactio. The appropriate selectig sigals are drive by the LUT ad the a vector couter begis to cout the umber of test vectors clocked. The vector couter is compared to the vector cout also cotaied i the LUT, ad whe the correct umber of vectors have bee ru, the cotroller advaces to the ext test trasactio ad repeats util all test trasactios are completed. Durig testig, if a error is detected, the curret trasactio ad vector cout are logged ito a buffer for post testig diagosis. From the trasactio umber ad the vector umber, the exact lie o which the error occurred ca be determied. Tmode= Trasactios Complete=1 Tmode= Idle Tras Rst Vector Rst Tmode= Next Tras.=1 Complete Wait Tras Ic Vector Rst Test ad Vector Couter Log Tras Rst Tras Ic Vector Rst Test Clk Next Trasactio Trasactio Couter Vector Couter Test Cout Vector Cout Last Trasactio Couter Vector Cout/Global Eable LUT Tmod Test Complete Flag Flag k Aalyzer Error Flags Figure 8- Desig of Global Test Cotroller Geerator, Aalyzer Global Eables E m E

5 4. Applicatio of the Self-Test Methodology to a DSP chip To validate the self-test methodology, icludig the desig of test geerator, error detector ad test cotroller, we have applied the methodology to a Digital Sigal Processor chip, CMUDSP [1], which correspods to Motorola DSP562. We first briefly describe the architecture ad structure of the CMUDSP chip. Next, we discuss how we apply our self-test methodology for testig crosstalk i the buses of the chip, icludig isertio of the appropriate test geerators, error detectors, ad test cotroller, ad the overhead ivolved. We also discuss how validatio of the selftest methodology is performed. As show i Figure 9, CMUDSP cosists of four uits: Arithmetic ad Data Logic Uit (ALU), Address Geeratio Uit (AGU), Bus Switch, ad Program Cotrol Uit (PCU). The ALU cotais the X, Y, A ad B registers alog with the multiplyaccumulate ad adder uits. The AGU geerates the addresses for accessig the data memories. The PCU cotais program couter ad flag bits for cotrollig the whole DSP core. The PCU also performs program address geeratio ad istructio decodig. The Bus Switch is used to cotrol data flow betwee buses. CMUDSP cosists of three sets of separate data buses (XDB, YDB, PDB) ad address buses (XAB, YAB, PAB). The X ad Y buses are coected with data memory, ad the P buses are coected with program memory. From the CMUDSP architecture, ad the bus trasactios that are allowed durig ormal operatio, we first idetify the test trasactios that eed to be supported. Based o the test trasactios eeded, we iserted self-test compoets i each core selectively. For testig output buses to a core, a test geerator is iserted, ad for testig iput buses, a test detector is used. For bidirectioal buses to a core, we iserted a combied test geerator/error detector structure, which shares some commo logic to miimize hardware overhead (see Table 1 i Sectio 3.3). A test cotroller is iserted to cotrol the test trasactios as show i Figure 9. Besides havig to test sigle bus trasactios, if a set of multiple buses are ivolved i ormal operatio, the that set of multiple buses eed to be tested simultaeously to activate the worst-case crosstalk effect. For example, the Bus Switch uit has Origial Circuit With Test Compoet ALU AGU Bus PCU Test Switch Cotroller N/A Table 2 - Hardware overhead (2-iput NAND gates) Clock four separate 24-bit buses - three of them, XDB, YDB ad GDB are tested with self-test structures iserted, as show i Figure 9. If all the three buses eed to be tested together (say from the XDB, YDB at ALU ad GDB at AGU to Bus Switch uit), the test cotroller has to be cofigured so that the test geerators i AGU ad ALU combie properly to geerate test vectors for the multiple buses (as discussed i sectio 2), ad the compoet of the Bus Switch act as a error detector simultaeously. This is doe from the cofiguratio of Look Up Table (LUT) of the test cotroller. We have sythesized the origial DSP chip, as well as the chip with self-test structures iserted, usig Metor Graphics Leoardo sythesis tool [11]. Table 2 shows the sythesis results, i terms of the umber of 2-iput NAND gates for each origial compoet ad after test structures are iserted. The total hardware overhead (measured i 2-iput NAND gate) for the selftest methodology is about 22%. As show by Table 2, the high overhead is due to the relatively small size of some of the compoets (like PCU ad the Bus Switch). We expect that the area overhead will be much smaller whe we compare the area of the physical layouts of the origial ad fial circuits, as this will cosider the area due to the bus compoets, which costitute a sigificat part of the circuit, ad for which there is o extra test overhead. Also, applicatio of the self-test methodology o System-o-Chips, cosistig of processor ad other cores, will also show relatively small test overhead sice the overhead of the test geerator ad error detector compoets will be omial compared to the size of processor ad other cores, as show i Sectio Validatio of the Self-Test Methodology To validate the self-test methodology, icludig the proper desig ad fuctioig of the test geerators, error detectors ad test cotrollers embedded i the DSP chip, we will have to iject ad simulate crosstalk defects i the bus structures, ad esure that the test vectors geerated by the self-test structures detect all the defects ijected. The most accurate way of simulatig the chip is spice-level simulatio; however, spice-level simulatio of the etire chip is ot feasible. Hece, we have developed a high-level crosstalk defect simulatio method, which allows simulatio of the crosstalk effects o bus itercoects, together with the HDL models of the rest of the chip compoets, icludig the self-test structures. While we will ot get ito the details of the high-level crosstalk fault simulatio methodology here, we will describe it briefly, ad demostrate its use i validatig the self-test methodology applied to the CMUDSP chip. To eable ijectio of crosstalk defects i bus itercoects, ad simulatio of the behavior of the defect effects (glitch or delay) at the high-level, we also iserted behavioral-level itercoect DSM error models correspodig to the bus itercoects that are uder test. The resultig high-level crosstalk Reset XWrite XRead YWrite YRead AGU Immediate SWrite LWrite GDB ALU XDB YDB PDB XAB YAB PAB Detector PCU Test Cotroller S S1 Bus Switch Figure 9- CMUDSP with self-test compoets

6 Figure 1 -Validatig self-test methodology usig high-level crosstalk defect simulatio defect simulatio eviromet for the CMUDSP chip is show i Figure 1. A behavior level itercoect DSM error model geerates correspodig errors such as positive glitch, egative glitch, risig delay ad fallig delay [13] accordig to the crosscouplig parameters ad the test vectors trasmitted o a bus. A crosstalk defect ca be ijected by perturbig the values ad distributio of cross-couplig parameters beyod a threshold value [13] to reflect process variatios beyod desig margis. Durig crosstalk defect simulatio, the flow of test vectors through the circuit compoets is as followig: A HDL test geerator geerates the MAF test vectors for a bus, which are iput to the correspodig DSM error model. Depedig o the test vector trasitios, ad the couplig parameters of the bus, the DSM error model geerates output vectors, which may or may ot cotai (digitally ecoded) glitch or delay errors. Fially, the HDL error detector model at the ed of the bus aalyzes the output of the DSM error model, ad determies whether a error has occurred. We have used the high-level crosstalk defect simulatio eviromet, ad ModelSim HDL simulator[12], to validate the MAFM-based self-test methodology used for the DSP chip. A parameter file, cotaiig radomly perturbed cross-couplig values betwee the various itercoects, is used durig crosstalk simulatio. The couplig values lead to radomly geerated crosstalk defects, which are expected to give rise to errors o radomly selected victim lies. Simulatio starts with the activatio of the test cotroller, which schedules the required test trasactios ad iitiates the correspodig test geerators ad error detectors by issuig appropriate Test Eable sigals. Depedig o the use of the test vectors ad the parameter file, the itercoect error models may geerate crosstalk errors, which are the captured by the error detector ad commuicated back to the test cotroller, leadig to the activatio of the Iterrupt sigal from the cotroller. Figure 11 shows a sap-shot of the crosstalk simulatio of the CMUDSP chip. The sigals show iclude the system clock, the bus data (test vectors trasmitted o buses), the test eable sigals, ad the iterrupt sigal from the cotroller. By aalyzig the simulatio waveform, ad checkig with the expected errors (which ca be determied a-priori from the parameter file), we ca determie the correctess of the self-test methodology, icludig the self-test structures. We have performed crosstalk simulatio with several parameter files correspodig to several sets of radomly perturbed couplig values. For all the simulatio rus, the self-test methodology has bee able to report each error Clock Bus Data Test Eable Iterrupt Figure 11- Waveform of crosstalk simulatio correctly, idicatig the correctess of the desigs ad fuctioig of test geerators, error detectors, ad the test cotroller. 5. Coclusio We have ivestigated ad developed a self-test methodology for at-speed testig of crosstalk errors i global itercoects ad buses i System-o-Chips. The self-test methodology ivolves the isertio of self-test structures, such as test geerators, error detectors, ad a test cotroller i the SoC. The self-test structures geerate tests accordig to the MAFM [13], ad hece guaratees that ay crosstalk error, due to couplig capacitaces betwee itercoects, are detected. The parameterized test structures (test geerator, error detector, test cotroller) have bee simulated ad sythesized. We have also applied our self-test method to a DSP chip, ad validated the proper desig ad fuctioig of the selftest structures usig a ovel high-level crosstalk simulatio framework. I the future, we will apply this techique to other circuits, icludig SoCs, ad perform physical desig to assess the true cost of the techique. Use of the proposed self-test structures to geerate crosstalk test for aggressors may be costly for some applicatios. We would like to ivestigate alterative techiques to eable the itercoect crosstalk testig icludig the geeratio of test patters usig o-chip cores such as processors. 6. Refereces [1] H. Zhou, ad D. F. Wag, Global Routig with Crosstalk Costaits, Proceedigs 1998 desig ad Automatio coferece 35 th DAC, page , [2] Z. Che, ad I. Kore, Crosstalk Miimizatio i Three-Layer HVH Chael Routig, Proceedig IEEE Iteratioal Symposium o Defect ad Fault Tolerace i VLSI System, pages 38-42, [3] P. Nordholz, D. Treytar, J. Otterstedt, H. Grabiski, D. Niggemeyer, ad T.W. Williams, Sigal Itegrity Problems i Deep Submicro arisig from Itercoects betwee Cores, Proceedigs IEEE VLSI Test Symposium, pages 28-33, [4] K. Rahmat J. Neves, J. Lee, Methods for calculatig couplig oise i early desig: a comparative aalysis. Proceedig Iteratioal Coferece o Computer Desig VLSI i Computers ad Processors, pages76-81, [5] H. Kawaguchi ad T. Sakurai, Delay ad Noise Formulas for Capacitively Coupled Distributed RC Lies, Proceedigs of the Asia ad South Pacific Desig Automatio Coferece, Pages35-43, [6] Semicoductor Idustry Associatio (SIA), Iteratioal Techology Roadmap for Semicoductor, 1999 Editio. [7] K. T. Lee, C. Nordquist, ad J. Abraham, Automatic Test Patter geeratio for Crosstalk Glitches i Digital Circuits, Proceedigs IEEE VLSI Test Symposium, pages 34-39, [8] N. Itazaki, Y. Matsumoto, ad K. Kioshita, A Algorithmic Test Geeratio Method for crosstalk Faults i Sychroous Sequetial Circuits, Proceedigs Sixth Asia Test Symposium, pages 22-27, Nov [9] W. Che, S. K. Gupta, ad M. A. Breuer, Test Geeratio i VLSI Circuits for Crosstalk Noise, Proceedigs IEEE Iteratioal Test Coferece, pages , [1] C. Iacio, The CMU DSP Team, The Caregie Mello Sythesizable Digital Sigal Processor Core, [11] Leoardo Spectrum, V1999.1d, Exemplar Logic Ic., Fremot, CA. [12] ModelSim EE Vsim 5.3a Simulator, Model Techology Ic. Portlad, OR. [13] M. Cuviello, S. Dey, X. Bai, Y. Zhao, Fault Modelig ad Simulatio for Crosstalk i System-O-Chip Itercoects, Proceedigs of the Iteratioal Coferece o Computer-Aided Desig (ICCAD), pages , 1999.

Elementary Educational Computer

Elementary Educational Computer Chapter 5 Elemetary Educatioal Computer. Geeral structure of the Elemetary Educatioal Computer (EEC) The EEC coforms to the 5 uits structure defied by vo Neuma's model (.) All uits are preseted i a simplified

More information

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation

Improvement of the Orthogonal Code Convolution Capabilities Using FPGA Implementation Improvemet of the Orthogoal Code Covolutio Capabilities Usig FPGA Implemetatio Naima Kaabouch, Member, IEEE, Apara Dhirde, Member, IEEE, Saleh Faruque, Member, IEEE Departmet of Electrical Egieerig, Uiversity

More information

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design

CSC 220: Computer Organization Unit 11 Basic Computer Organization and Design College of Computer ad Iformatio Scieces Departmet of Computer Sciece CSC 220: Computer Orgaizatio Uit 11 Basic Computer Orgaizatio ad Desig 1 For the rest of the semester, we ll focus o computer architecture:

More information

1. SWITCHING FUNDAMENTALS

1. SWITCHING FUNDAMENTALS . SWITCING FUNDMENTLS Switchig is the provisio of a o-demad coectio betwee two ed poits. Two distict switchig techiques are employed i commuicatio etwors-- circuit switchig ad pacet switchig. Circuit switchig

More information

Appendix D. Controller Implementation

Appendix D. Controller Implementation COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Appedix D Cotroller Implemetatio Cotroller Implemetatios Combiatioal logic (sigle-cycle); Fiite state machie (multi-cycle, pipelied);

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 13 Control and Sequencing: Hardwired and Microprogrammed Control EE 459/500 HDL Based Digital Desig with Programmable Logic Lecture 13 Cotrol ad Sequecig: Hardwired ad Microprogrammed Cotrol Refereces: Chapter s 4,5 from textbook Chapter 7 of M.M. Mao ad C.R. Kime,

More information

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns

K-NET bus. When several turrets are connected to the K-Bus, the structure of the system is as showns K-NET bus The K-Net bus is based o the SPI bus but it allows to addressig may differet turrets like the I 2 C bus. The K-Net is 6 a wires bus (4 for SPI wires ad 2 additioal wires for request ad ackowledge

More information

3D Model Retrieval Method Based on Sample Prediction

3D Model Retrieval Method Based on Sample Prediction 20 Iteratioal Coferece o Computer Commuicatio ad Maagemet Proc.of CSIT vol.5 (20) (20) IACSIT Press, Sigapore 3D Model Retrieval Method Based o Sample Predictio Qigche Zhag, Ya Tag* School of Computer

More information

Chapter 4 The Datapath

Chapter 4 The Datapath The Ageda Chapter 4 The Datapath Based o slides McGraw-Hill Additioal material 24/25/26 Lewis/Marti Additioal material 28 Roth Additioal material 2 Taylor Additioal material 2 Farmer Tae the elemets that

More information

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two

Module Instantiation. Finite State Machines. Two Types of FSMs. Finite State Machines. Given submodule mux32two: Instantiation of mux32two Give submodule mux32two: 2-to- MUX module mux32two (iput [3:] i,i, iput sel, output [3:] out); Module Istatiatio Fiite Machies esig methodology for sequetial logic -- idetify distict s -- create trasitio

More information

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 )

EE260: Digital Design, Spring /16/18. n Example: m 0 (=x 1 x 2 ) is adjacent to m 1 (=x 1 x 2 ) and m 2 (=x 1 x 2 ) but NOT m 3 (=x 1 x 2 ) EE26: Digital Desig, Sprig 28 3/6/8 EE 26: Itroductio to Digital Desig Combiatioal Datapath Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Combiatioal Logic Blocks Multiplexer Ecoders/Decoders

More information

ICS Regent. Communications Modules. Module Operation. RS-232, RS-422 and RS-485 (T3150A) PD-6002

ICS Regent. Communications Modules. Module Operation. RS-232, RS-422 and RS-485 (T3150A) PD-6002 ICS Reget Commuicatios Modules RS-232, RS-422 ad RS-485 (T3150A) Issue 1, March, 06 Commuicatios modules provide a serial commuicatios iterface betwee the cotroller ad exteral equipmet. Commuicatios modules

More information

. Written in factored form it is easy to see that the roots are 2, 2, i,

. Written in factored form it is easy to see that the roots are 2, 2, i, CMPS A Itroductio to Programmig Programmig Assigmet 4 I this assigmet you will write a java program that determies the real roots of a polyomial that lie withi a specified rage. Recall that the roots (or

More information

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method

A New Morphological 3D Shape Decomposition: Grayscale Interframe Interpolation Method A ew Morphological 3D Shape Decompositio: Grayscale Iterframe Iterpolatio Method D.. Vizireau Politehica Uiversity Bucharest, Romaia ae@comm.pub.ro R. M. Udrea Politehica Uiversity Bucharest, Romaia mihea@comm.pub.ro

More information

Data diverse software fault tolerance techniques

Data diverse software fault tolerance techniques Data diverse software fault tolerace techiques Complemets desig diversity by compesatig for desig diversity s s limitatios Ivolves obtaiig a related set of poits i the program data space, executig the

More information

L6: FSMs and Synchronization

L6: FSMs and Synchronization L6: FSMs ad Sychroizatio Ackowledgemets: Materials i this lecture are courtesy of the followig sources ad are used with permissio. Rex Mi J. Rabaey, A. Chadrakasa, B. Nikolic. igital Itegrated Circuits:

More information

Pattern Recognition Systems Lab 1 Least Mean Squares

Pattern Recognition Systems Lab 1 Least Mean Squares Patter Recogitio Systems Lab 1 Least Mea Squares 1. Objectives This laboratory work itroduces the OpeCV-based framework used throughout the course. I this assigmet a lie is fitted to a set of poits usig

More information

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 3. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 3 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018

Fundamentals of. Chapter 1. Microprocessor and Microcontroller. Dr. Farid Farahmand. Updated: Tuesday, January 16, 2018 Fudametals of Chapter 1 Microprocessor ad Microcotroller Dr. Farid Farahmad Updated: Tuesday, Jauary 16, 2018 Evolutio First came trasistors Itegrated circuits SSI (Small-Scale Itegratio) to ULSI Very

More information

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON

A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON A SOFTWARE MODEL FOR THE MULTILAYER PERCEPTRON Roberto Lopez ad Eugeio Oñate Iteratioal Ceter for Numerical Methods i Egieerig (CIMNE) Edificio C1, Gra Capitá s/, 08034 Barceloa, Spai ABSTRACT I this work

More information

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5

Morgan Kaufmann Publishers 26 February, COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 5 Morga Kaufma Publishers 26 February, 28 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter 5 Set-Associative Cache Architecture Performace Summary Whe CPU performace icreases:

More information

Security of Bluetooth: An overview of Bluetooth Security

Security of Bluetooth: An overview of Bluetooth Security Versio 2 Security of Bluetooth: A overview of Bluetooth Security Marjaaa Träskbäck Departmet of Electrical ad Commuicatios Egieerig mtraskba@cc.hut.fi 52655H ABSTRACT The purpose of this paper is to give

More information

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t

System Overview. Hardware Concept. s Introduction to the Features of MicroAutoBox t s Itroductio to the Features of MicroAutoBox t System Overview Objective Where to go from here dspace provides the MicroAutoBox i differet variats. This sectio gives you a overview o the MicroAutoBox's

More information

Task scenarios Outline. Scenarios in Knowledge Extraction. Proposed Framework for Scenario to Design Diagram Transformation

Task scenarios Outline. Scenarios in Knowledge Extraction. Proposed Framework for Scenario to Design Diagram Transformation 6-0-0 Kowledge Trasformatio from Task Scearios to View-based Desig Diagrams Nima Dezhkam Kamra Sartipi {dezhka, sartipi}@mcmaster.ca Departmet of Computig ad Software McMaster Uiversity CANADA SEKE 08

More information

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2 RTL Desig Methodology Trasitio from Pseudocode & Iterface to a Correspodig Block Diagram Structure of a Typical Digital Data Iputs Datapath (Executio Uit) Data Outputs System Cotrol Sigals Status

More information

1 Enterprise Modeler

1 Enterprise Modeler 1 Eterprise Modeler Itroductio I BaaERP, a Busiess Cotrol Model ad a Eterprise Structure Model for multi-site cofiguratios are itroduced. Eterprise Structure Model Busiess Cotrol Models Busiess Fuctio

More information

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved.

Chapter 1. Introduction to Computers and C++ Programming. Copyright 2015 Pearson Education, Ltd.. All rights reserved. Chapter 1 Itroductio to Computers ad C++ Programmig Copyright 2015 Pearso Educatio, Ltd.. All rights reserved. Overview 1.1 Computer Systems 1.2 Programmig ad Problem Solvig 1.3 Itroductio to C++ 1.4 Testig

More information

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme

Improving Information Retrieval System Security via an Optimal Maximal Coding Scheme Improvig Iformatio Retrieval System Security via a Optimal Maximal Codig Scheme Dogyag Log Departmet of Computer Sciece, City Uiversity of Hog Kog, 8 Tat Chee Aveue Kowloo, Hog Kog SAR, PRC dylog@cs.cityu.edu.hk

More information

Bayesian approach to reliability modelling for a probability of failure on demand parameter

Bayesian approach to reliability modelling for a probability of failure on demand parameter Bayesia approach to reliability modellig for a probability of failure o demad parameter BÖRCSÖK J., SCHAEFER S. Departmet of Computer Architecture ad System Programmig Uiversity Kassel, Wilhelmshöher Allee

More information

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago

CMSC Computer Architecture Lecture 12: Virtual Memory. Prof. Yanjing Li University of Chicago CMSC 22200 Computer Architecture Lecture 12: Virtual Memory Prof. Yajig Li Uiversity of Chicago A System with Physical Memory Oly Examples: most Cray machies early PCs Memory early all embedded systems

More information

Master Informatics Eng. 2017/18. A.J.Proença. Memory Hierarchy. (most slides are borrowed) AJProença, Advanced Architectures, MiEI, UMinho, 2017/18 1

Master Informatics Eng. 2017/18. A.J.Proença. Memory Hierarchy. (most slides are borrowed) AJProença, Advanced Architectures, MiEI, UMinho, 2017/18 1 Advaced Architectures Master Iformatics Eg. 2017/18 A.J.Proeça Memory Hierarchy (most slides are borrowed) AJProeça, Advaced Architectures, MiEI, UMiho, 2017/18 1 Itroductio Programmers wat ulimited amouts

More information

Course Site: Copyright 2012, Elsevier Inc. All rights reserved.

Course Site:   Copyright 2012, Elsevier Inc. All rights reserved. Course Site: http://cc.sjtu.edu.c/g2s/site/aca.html 1 Computer Architecture A Quatitative Approach, Fifth Editio Chapter 2 Memory Hierarchy Desig 2 Outlie Memory Hierarchy Cache Desig Basic Cache Optimizatios

More information

Behavioral Modeling in Verilog

Behavioral Modeling in Verilog Behavioral Modelig i Verilog COE 202 Digital Logic Desig Dr. Muhamed Mudawar Kig Fahd Uiversity of Petroleum ad Mierals Presetatio Outlie Itroductio to Dataflow ad Behavioral Modelig Verilog Operators

More information

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis

Analysis Metrics. Intro to Algorithm Analysis. Slides. 12. Alg Analysis. 12. Alg Analysis Itro to Algorithm Aalysis Aalysis Metrics Slides. Table of Cotets. Aalysis Metrics 3. Exact Aalysis Rules 4. Simple Summatio 5. Summatio Formulas 6. Order of Magitude 7. Big-O otatio 8. Big-O Theorems

More information

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization

End Semester Examination CSE, III Yr. (I Sem), 30002: Computer Organization Ed Semester Examiatio 2013-14 CSE, III Yr. (I Sem), 30002: Computer Orgaizatio Istructios: GROUP -A 1. Write the questio paper group (A, B, C, D), o frot page top of aswer book, as per what is metioed

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. Chapter 4. The Processor. Part A Datapath Design COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Iterface 5 th Editio Chapter The Processor Part A path Desig Itroductio CPU performace factors Istructio cout Determied by ISA ad compiler. CPI ad

More information

UNIVERSITY OF MORATUWA

UNIVERSITY OF MORATUWA UNIVERSITY OF MORATUWA FACULTY OF ENGINEERING DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING B.Sc. Egieerig 2014 Itake Semester 2 Examiatio CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours Jauary 2016

More information

n Learn how resiliency strategies reduce risk n Discover automation strategies to reduce risk

n Learn how resiliency strategies reduce risk n Discover automation strategies to reduce risk Chapter Objectives Lear how resiliecy strategies reduce risk Discover automatio strategies to reduce risk Chapter #16: Architecture ad Desig Resiliecy ad Automatio Strategies 2 Automatio/Scriptig Resiliet

More information

Empirical Validate C&K Suite for Predict Fault-Proneness of Object-Oriented Classes Developed Using Fuzzy Logic.

Empirical Validate C&K Suite for Predict Fault-Proneness of Object-Oriented Classes Developed Using Fuzzy Logic. Empirical Validate C&K Suite for Predict Fault-Proeess of Object-Orieted Classes Developed Usig Fuzzy Logic. Mohammad Amro 1, Moataz Ahmed 1, Kaaa Faisal 2 1 Iformatio ad Computer Sciece Departmet, Kig

More information

Computers and Scientific Thinking

Computers and Scientific Thinking Computers ad Scietific Thikig David Reed, Creighto Uiversity Chapter 15 JavaScript Strigs 1 Strigs as Objects so far, your iteractive Web pages have maipulated strigs i simple ways use text box to iput

More information

Evaluation scheme for Tracking in AMI

Evaluation scheme for Tracking in AMI A M I C o m m u i c a t i o A U G M E N T E D M U L T I - P A R T Y I N T E R A C T I O N http://www.amiproject.org/ Evaluatio scheme for Trackig i AMI S. Schreiber a D. Gatica-Perez b AMI WP4 Trackig:

More information

CAEN Tools for Discovery

CAEN Tools for Discovery Applicatio Note AN2086 Sychroizatio of CAEN Digitizers i Multiple Board Acquisitio Systems Viareggio 9 May 2013 Itroductio High speed digitizers fid applicatios i several fields ragig from the idustry

More information

Accuracy Improvement in Camera Calibration

Accuracy Improvement in Camera Calibration Accuracy Improvemet i Camera Calibratio FaJie L Qi Zag ad Reihard Klette CITR, Computer Sciece Departmet The Uiversity of Aucklad Tamaki Campus, Aucklad, New Zealad fli006, qza001@ec.aucklad.ac.z r.klette@aucklad.ac.z

More information

Ones Assignment Method for Solving Traveling Salesman Problem

Ones Assignment Method for Solving Traveling Salesman Problem Joural of mathematics ad computer sciece 0 (0), 58-65 Oes Assigmet Method for Solvig Travelig Salesma Problem Hadi Basirzadeh Departmet of Mathematics, Shahid Chamra Uiversity, Ahvaz, Ira Article history:

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 19 Query Optimizatio Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Query optimizatio Coducted by a query optimizer i a DBMS Goal:

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 6 Defiig Fuctios Pytho Programmig, 2/e 1 Objectives To uderstad why programmers divide programs up ito sets of cooperatig fuctios. To be able to

More information

MOTIF XF Extension Owner s Manual

MOTIF XF Extension Owner s Manual MOTIF XF Extesio Ower s Maual Table of Cotets About MOTIF XF Extesio...2 What Extesio ca do...2 Auto settig of Audio Driver... 2 Auto settigs of Remote Device... 2 Project templates with Iput/ Output Bus

More information

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui

Optimization for framework design of new product introduction management system Ma Ying, Wu Hongcui 2d Iteratioal Coferece o Electrical, Computer Egieerig ad Electroics (ICECEE 2015) Optimizatio for framework desig of ew product itroductio maagemet system Ma Yig, Wu Hogcui Tiaji Electroic Iformatio Vocatioal

More information

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software

Structuring Redundancy for Fault Tolerance. CSE 598D: Fault Tolerant Software Structurig Redudacy for Fault Tolerace CSE 598D: Fault Tolerat Software What do we wat to achieve? Versios Damage Assessmet Versio 1 Error Detectio Iputs Versio 2 Voter Outputs State Restoratio Cotiued

More information

Improving Template Based Spike Detection

Improving Template Based Spike Detection Improvig Template Based Spike Detectio Kirk Smith, Member - IEEE Portlad State Uiversity petra@ee.pdx.edu Abstract Template matchig algorithms like SSE, Covolutio ad Maximum Likelihood are well kow for

More information

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods.

Software development of components for complex signal analysis on the example of adaptive recursive estimation methods. Software developmet of compoets for complex sigal aalysis o the example of adaptive recursive estimatio methods. SIMON BOYMANN, RALPH MASCHOTTA, SILKE LEHMANN, DUNJA STEUER Istitute of Biomedical Egieerig

More information

The Magma Database file formats

The Magma Database file formats The Magma Database file formats Adrew Gaylard, Bret Pikey, ad Mart-Mari Breedt Johaesburg, South Africa 15th May 2006 1 Summary Magma is a ope-source object database created by Chris Muller, of Kasas City,

More information

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions

A Generalized Set Theoretic Approach for Time and Space Complexity Analysis of Algorithms and Functions Proceedigs of the 10th WSEAS Iteratioal Coferece o APPLIED MATHEMATICS, Dallas, Texas, USA, November 1-3, 2006 316 A Geeralized Set Theoretic Approach for Time ad Space Complexity Aalysis of Algorithms

More information

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS

APPLICATION NOTE PACE1750AE BUILT-IN FUNCTIONS APPLICATION NOTE PACE175AE BUILT-IN UNCTIONS About This Note This applicatio brief is iteded to explai ad demostrate the use of the special fuctios that are built ito the PACE175AE processor. These powerful

More information

Trusted Design in FPGAs

Trusted Design in FPGAs Trusted Desig i FPGAs Mark Tehraipoor Itroductio to Hardware Security & Trust Uiversity of Florida 1 Outlie Itro to FPGA Architecture FPGA Overview Maufacturig Flow FPGA Security Attacks Defeses Curret

More information

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19

CIS 121 Data Structures and Algorithms with Java Spring Stacks, Queues, and Heaps Monday, February 18 / Tuesday, February 19 CIS Data Structures ad Algorithms with Java Sprig 09 Stacks, Queues, ad Heaps Moday, February 8 / Tuesday, February 9 Stacks ad Queues Recall the stack ad queue ADTs (abstract data types from lecture.

More information

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk

THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS. Roman Szewczyk THIN LAYER ORIENTED MAGNETOSTATIC CALCULATION MODULE FOR ELMER FEM, BASED ON THE METHOD OF THE MOMENTS Roma Szewczyk Istitute of Metrology ad Biomedical Egieerig, Warsaw Uiversity of Techology E-mail:

More information

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM

BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM MATEC Web of Cofereces 79, 01014 (016) DOI: 10.1051/ mateccof/0167901014 T 016 BOOLEAN DIFFERENTIATION EQUATIONS APPLICABLE IN RECONFIGURABLE COMPUTATIONAL MEDIUM Staislav Shidlovskiy 1, 1 Natioal Research

More information

Τεχνολογία Λογισμικού

Τεχνολογία Λογισμικού ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τεχνολογία Λογισμικού, 7ο/9ο εξάμηνο 2018-2019 Τεχνολογία Λογισμικού Ν.Παπασπύρου, Αν.Καθ. ΣΗΜΜΥ, ickie@softlab.tua,gr

More information

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming

Lecture Notes 6 Introduction to algorithm analysis CSS 501 Data Structures and Object-Oriented Programming Lecture Notes 6 Itroductio to algorithm aalysis CSS 501 Data Structures ad Object-Orieted Programmig Readig for this lecture: Carrao, Chapter 10 To be covered i this lecture: Itroductio to algorithm aalysis

More information

Harris Corner Detection Algorithm at Sub-pixel Level and Its Application Yuanfeng Han a, Peijiang Chen b * and Tian Meng c

Harris Corner Detection Algorithm at Sub-pixel Level and Its Application Yuanfeng Han a, Peijiang Chen b * and Tian Meng c Iteratioal Coferece o Computatioal Sciece ad Egieerig (ICCSE 015) Harris Corer Detectio Algorithm at Sub-pixel Level ad Its Applicatio Yuafeg Ha a, Peijiag Che b * ad Tia Meg c School of Automobile, Liyi

More information

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO

DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO DESIGN AND ANALYSIS OF LDPC DECODERS FOR SOFTWARE DEFINED RADIO Sagwo Seo, Trevor Mudge Advaced Computer Architecture Laboratory Uiversity of Michiga at A Arbor {swseo, tm}@umich.edu Yumig Zhu, Chaitali

More information

A Flexible Programmable Memory BIST for Embedded Single-Port Memory and Dual-Port Memory

A Flexible Programmable Memory BIST for Embedded Single-Port Memory and Dual-Port Memory A Flexible Programmable Memory BIST for Embedded Sigle-Port Memory ad Dual-Port Memory Yougkyu Park, Hog-Sik Kim, Ihyuk Choi, ad Sugho Kag Programmable memory built-i self-test (PMBIST) is a attractive

More information

Pruning and Summarizing the Discovered Time Series Association Rules from Mechanical Sensor Data Qing YANG1,a,*, Shao-Yu WANG1,b, Ting-Ting ZHANG2,c

Pruning and Summarizing the Discovered Time Series Association Rules from Mechanical Sensor Data Qing YANG1,a,*, Shao-Yu WANG1,b, Ting-Ting ZHANG2,c Advaces i Egieerig Research (AER), volume 131 3rd Aual Iteratioal Coferece o Electroics, Electrical Egieerig ad Iformatio Sciece (EEEIS 2017) Pruig ad Summarizig the Discovered Time Series Associatio Rules

More information

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem

An Improved Shuffled Frog-Leaping Algorithm for Knapsack Problem A Improved Shuffled Frog-Leapig Algorithm for Kapsack Problem Zhoufag Li, Ya Zhou, ad Peg Cheg School of Iformatio Sciece ad Egieerig Hea Uiversity of Techology ZhegZhou, Chia lzhf1978@126.com Abstract.

More information

A QoS Provisioning mechanism of Real-time Wireless USB Transfers for Smart HDTV Multimedia Services

A QoS Provisioning mechanism of Real-time Wireless USB Transfers for Smart HDTV Multimedia Services A QoS Provisioig mechaism of Real-time Wireless USB Trasfers for Smart HDTV Multimedia Services Ji-Woo im 1, yeog Hur 2, Jog-Geu Jeog 3, Dog Hoo Lee 4, Moo Sog Yeu 5, Yeowoo Lee 6 ad Seog Ro Lee 7 1 Istitute

More information

Computer Graphics Hardware An Overview

Computer Graphics Hardware An Overview Computer Graphics Hardware A Overview Graphics System Moitor Iput devices CPU/Memory GPU Raster Graphics System Raster: A array of picture elemets Based o raster-sca TV techology The scree (ad a picture)

More information

One advantage that SONAR has over any other music-sequencing product I ve worked

One advantage that SONAR has over any other music-sequencing product I ve worked *gajedra* D:/Thomso_Learig_Projects/Garrigus_163132/z_productio/z_3B2_3D_files/Garrigus_163132_ch17.3d, 14/11/08/16:26:39, 16:26, page: 647 17 CAL 101 Oe advatage that SONAR has over ay other music-sequecig

More information

Politecnico di Milano Advanced Network Technologies Laboratory. Internet of Things. Projects

Politecnico di Milano Advanced Network Technologies Laboratory. Internet of Things. Projects Politecico di Milao Advaced Network Techologies Laboratory Iteret of Thigs Projects 2016-2017 Politecico di Milao Advaced Network Techologies Laboratory Geeral Rules Geeral Rules o Gradig 26/30 are assiged

More information

Analysis of Server Resource Consumption of Meteorological Satellite Application System Based on Contour Curve

Analysis of Server Resource Consumption of Meteorological Satellite Application System Based on Contour Curve Advaces i Computer, Sigals ad Systems (2018) 2: 19-25 Clausius Scietific Press, Caada Aalysis of Server Resource Cosumptio of Meteorological Satellite Applicatio System Based o Cotour Curve Xiagag Zhao

More information

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe

Copyright 2016 Ramez Elmasri and Shamkant B. Navathe Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe CHAPTER 22 Database Recovery Techiques Copyright 2016 Ramez Elmasri ad Shamkat B. Navathe Itroductio Recovery algorithms Recovery cocepts Write-ahead

More information

Chapter 3 Classification of FFT Processor Algorithms

Chapter 3 Classification of FFT Processor Algorithms Chapter Classificatio of FFT Processor Algorithms The computatioal complexity of the Discrete Fourier trasform (DFT) is very high. It requires () 2 complex multiplicatios ad () complex additios [5]. As

More information

Chapter 4 Threads. Operating Systems: Internals and Design Principles. Ninth Edition By William Stallings

Chapter 4 Threads. Operating Systems: Internals and Design Principles. Ninth Edition By William Stallings Operatig Systems: Iterals ad Desig Priciples Chapter 4 Threads Nith Editio By William Stalligs Processes ad Threads Resource Owership Process icludes a virtual address space to hold the process image The

More information

Lecture 5. Counting Sort / Radix Sort

Lecture 5. Counting Sort / Radix Sort Lecture 5. Coutig Sort / Radix Sort T. H. Corme, C. E. Leiserso ad R. L. Rivest Itroductio to Algorithms, 3rd Editio, MIT Press, 2009 Sugkyukwa Uiversity Hyuseug Choo choo@skku.edu Copyright 2000-2018

More information

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea

Chapter 5: Processor Design Advanced Topics. Microprogramming: Basic Idea 5-1 Chapter 5 Processor Desig Advaced Topics Chapter 5: Processor Desig Advaced Topics Topics 5.3 Microprogrammig Cotrol store ad microbrachig Horizotal ad vertical microprogrammig 5- Chapter 5 Processor

More information

On Nonblocking Folded-Clos Networks in Computer Communication Environments

On Nonblocking Folded-Clos Networks in Computer Communication Environments O Noblockig Folded-Clos Networks i Computer Commuicatio Eviromets Xi Yua Departmet of Computer Sciece, Florida State Uiversity, Tallahassee, FL 3306 xyua@cs.fsu.edu Abstract Folded-Clos etworks, also referred

More information

Outline. CSCI 4730 Operating Systems. Questions. What is an Operating System? Computer System Layers. Computer System Layers

Outline. CSCI 4730 Operating Systems. Questions. What is an Operating System? Computer System Layers. Computer System Layers Outlie CSCI 4730 s! What is a s?!! System Compoet Architecture s Overview Questios What is a?! What are the major operatig system compoets?! What are basic computer system orgaizatios?! How do you commuicate

More information

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager.

Term Project Report. This component works to detect gesture from the patient as a sign of emergency message and send it to the emergency manager. CS2310 Fial Project Loghao Li Term Project Report Itroductio I this project, I worked o expadig exercise 4. What I focused o is makig the real gesture recogizig sesor ad desig proper gestures ad recogizig

More information

Combination Labelings Of Graphs

Combination Labelings Of Graphs Applied Mathematics E-Notes, (0), - c ISSN 0-0 Available free at mirror sites of http://wwwmaththuedutw/ame/ Combiatio Labeligs Of Graphs Pak Chig Li y Received February 0 Abstract Suppose G = (V; E) is

More information

Τεχνολογία Λογισμικού

Τεχνολογία Λογισμικού ΕΘΝΙΚΟ ΜΕΤΣΟΒΙΟ ΠΟΛΥΤΕΧΝΕΙΟ Σχολή Ηλεκτρολόγων Μηχανικών και Μηχανικών Υπολογιστών Τεχνολογία Λογισμικού, 7ο/9ο εξάμηνο 2018-2019 Τεχνολογία Λογισμικού Ν.Παπασπύρου, Αν.Καθ. ΣΗΜΜΥ, ickie@softlab.tua,gr

More information

Lecture 28: Data Link Layer

Lecture 28: Data Link Layer Automatic Repeat Request (ARQ) 2. Go ack N ARQ Although the Stop ad Wait ARQ is very simple, you ca easily show that it has very the low efficiecy. The low efficiecy comes from the fact that the trasmittig

More information

On Infinite Groups that are Isomorphic to its Proper Infinite Subgroup. Jaymar Talledo Balihon. Abstract

On Infinite Groups that are Isomorphic to its Proper Infinite Subgroup. Jaymar Talledo Balihon. Abstract O Ifiite Groups that are Isomorphic to its Proper Ifiite Subgroup Jaymar Talledo Baliho Abstract Two groups are isomorphic if there exists a isomorphism betwee them Lagrage Theorem states that the order

More information

Global Support Guide. Verizon WIreless. For the BlackBerry 8830 World Edition Smartphone and the Motorola Z6c

Global Support Guide. Verizon WIreless. For the BlackBerry 8830 World Edition Smartphone and the Motorola Z6c Verizo WIreless Global Support Guide For the BlackBerry 8830 World Editio Smartphoe ad the Motorola Z6c For complete iformatio o global services, please refer to verizowireless.com/vzglobal. Whether i

More information

Algorithms for Disk Covering Problems with the Most Points

Algorithms for Disk Covering Problems with the Most Points Algorithms for Disk Coverig Problems with the Most Poits Bi Xiao Departmet of Computig Hog Kog Polytechic Uiversity Hug Hom, Kowloo, Hog Kog csbxiao@comp.polyu.edu.hk Qigfeg Zhuge, Yi He, Zili Shao, Edwi

More information

Data Structures and Algorithms. Analysis of Algorithms

Data Structures and Algorithms. Analysis of Algorithms Data Structures ad Algorithms Aalysis of Algorithms Outlie Ruig time Pseudo-code Big-oh otatio Big-theta otatio Big-omega otatio Asymptotic algorithm aalysis Aalysis of Algorithms Iput Algorithm Output

More information

Python Programming: An Introduction to Computer Science

Python Programming: An Introduction to Computer Science Pytho Programmig: A Itroductio to Computer Sciece Chapter 1 Computers ad Programs 1 Objectives To uderstad the respective roles of hardware ad software i a computig system. To lear what computer scietists

More information

Efficient Synthesis of Networks On Chip

Efficient Synthesis of Networks On Chip Efficiet Sythesis of Networks O Chip Alessadro Pito Luca P. Carloi Alberto L. Sagiovai-Vicetelli EECS Departmet, Uiversity of Califoria at Berkeley, Berkeley, CA 947-77 Abstract We propose a efficiet heuristic

More information

Neuro Fuzzy Model for Human Face Expression Recognition

Neuro Fuzzy Model for Human Face Expression Recognition IOSR Joural of Computer Egieerig (IOSRJCE) ISSN : 2278-0661 Volume 1, Issue 2 (May-Jue 2012), PP 01-06 Neuro Fuzzy Model for Huma Face Expressio Recogitio Mr. Mayur S. Burage 1, Prof. S. V. Dhopte 2 1

More information

Identification of the Swiss Z24 Highway Bridge by Frequency Domain Decomposition Brincker, Rune; Andersen, P.

Identification of the Swiss Z24 Highway Bridge by Frequency Domain Decomposition Brincker, Rune; Andersen, P. Aalborg Uiversitet Idetificatio of the Swiss Z24 Highway Bridge by Frequecy Domai Decompositio Bricker, Rue; Aderse, P. Published i: Proceedigs of IMAC 2 Publicatio date: 22 Documet Versio Publisher's

More information

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance

Pseudocode ( 1.1) Analysis of Algorithms. Primitive Operations. Pseudocode Details. Running Time ( 1.1) Estimating performance Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Pseudocode ( 1.1) High-level descriptio of a algorithm More structured

More information

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov

Sorting in Linear Time. Data Structures and Algorithms Andrei Bulatov Sortig i Liear Time Data Structures ad Algorithms Adrei Bulatov Algorithms Sortig i Liear Time 7-2 Compariso Sorts The oly test that all the algorithms we have cosidered so far is compariso The oly iformatio

More information

6.854J / J Advanced Algorithms Fall 2008

6.854J / J Advanced Algorithms Fall 2008 MIT OpeCourseWare http://ocw.mit.edu 6.854J / 18.415J Advaced Algorithms Fall 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. 18.415/6.854 Advaced Algorithms

More information

Throughput-Delay Scaling in Wireless Networks with Constant-Size Packets

Throughput-Delay Scaling in Wireless Networks with Constant-Size Packets Throughput-Delay Scalig i Wireless Networks with Costat-Size Packets Abbas El Gamal, James Mamme, Balaji Prabhakar, Devavrat Shah Departmets of EE ad CS Staford Uiversity, CA 94305 Email: {abbas, jmamme,

More information

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs

What are we going to learn? CSC Data Structures Analysis of Algorithms. Overview. Algorithm, and Inputs What are we goig to lear? CSC316-003 Data Structures Aalysis of Algorithms Computer Sciece North Carolia State Uiversity Need to say that some algorithms are better tha others Criteria for evaluatio Structure

More information

SERIAL COMMUNICATION INTERFACE FOR ESA ESTRO

SERIAL COMMUNICATION INTERFACE FOR ESA ESTRO Bulleti E708 rev0 7/06/0 SERIAL COMMUNICATION INTERFACE FOR - SERIES FEATURES Supply voltage: 90 40vac Supply frequecy: 40 70 Hz Max. absorbtio: 40W Operatig temperature: 0 50 C Storage temperature: -0

More information

Multiprocessors. HPC Prof. Robert van Engelen

Multiprocessors. HPC Prof. Robert van Engelen Multiprocessors Prof. Robert va Egele Overview The PMS model Shared memory multiprocessors Basic shared memory systems SMP, Multicore, ad COMA Distributed memory multicomputers MPP systems Network topologies

More information

Avid Interplay Bundle

Avid Interplay Bundle Avid Iterplay Budle Versio 2.5 Cofigurator ReadMe Overview This documet provides a overview of Iterplay Budle v2.5 ad describes how to ru the Iterplay Budle cofiguratio tool. Iterplay Budle v2.5 refers

More information

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL

Automatic Generation of Polynomial-Basis Multipliers in GF (2 n ) using Recursive VHDL Automatic Geeratio of Polyomial-Basis Multipliers i GF (2 ) usig Recursive VHDL J. Nelso, G. Lai, A. Teca Abstract Multiplicatio i GF (2 ) is very commoly used i the fields of cryptography ad error correctig

More information

Running Time. Analysis of Algorithms. Experimental Studies. Limitations of Experiments

Running Time. Analysis of Algorithms. Experimental Studies. Limitations of Experiments Ruig Time Aalysis of Algorithms Iput Algorithm Output A algorithm is a step-by-step procedure for solvig a problem i a fiite amout of time. Most algorithms trasform iput objects ito output objects. The

More information

Evaluation of Different Fitness Functions for the Evolutionary Testing of an Autonomous Parking System

Evaluation of Different Fitness Functions for the Evolutionary Testing of an Autonomous Parking System Evaluatio of Differet Fitess Fuctios for the Evolutioary Testig of a Autoomous Parkig System Joachim Wegeer 1 ad Oliver Bühler 2 1 DaimlerChrysler AG, Research ad Techology, Alt-Moabit 96 a, D-10559 Berli,

More information