Optimization of Phase- Locked Loop Circuits via Geometric Programming

Size: px
Start display at page:

Download "Optimization of Phase- Locked Loop Circuits via Geometric Programming"

Transcription

1 Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson

2 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

3 Simulation-based methods W1 = 1 W2 = 2 : : L8 = 1 General purpose Long design cycles Needs circuit expert Needs optim. expert Power = 1 Gain = 500

4 Geometric programming-based method R L V dd R L Gain = f 1 (R,M 1, I b ) Gain > 100 BW > 10MHz 0.13µm CMOS : : : M 1 M 2 BW = f n (R,M 1,I b ) Ib Numerical GP Optimization Solver M1.gm=f 1 (W,L, ) : : : M1.Cgs= f n (W,L, )

5 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

6 Geometric programming A monomial function g(x) has the form g α α 2 α n ( x) = cx 1 x ( c > 0) 1 A posynomial function f(x) is a sum of monomials For example, Geometric program (GP) is minimize subject to 2 x n f ( x ) = 2 x + x x 2 f f g 0 ( i i x) x 0 1 x. 5 ( x) 1 i = 1,..., m (x) = 1 i = 1,..., p GPs can be easily transformed into convex problem 1 3

7 Solving GP s New interior point methods for GP are extremely fast find globally optimal solution or provide proof of infeasibility are independent of starting point For PLL synthesis: 40k optimization variables and 150k constraints takes ~90 minutes on 2GHz PC

8 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

9 GP electrical models G D I D S Complex GP models can be developed including shortchannel effects, finite output impedance, etc, e.g.,

10 GP models Id vs. Vds, 0.18µm 1.5 Id (ma) Vds (V)

11 GP physical models Posynomial expressions for Width and height, e.g., AD, AS, PD & PS, e.g., Placement and Routing Symmetry Constraints Mirroring Nets Net Matching Alignment Capacitance Constraints Shielding EM/IR drop considerations Dummy poly for matching and STI

12 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

13 PLL topology Charge pump PLL with low power programmable dividers (12 bit, >2GHz) Variables include device dimensions (W,L) and # of ring oscillator stages (S)

14 Charge pump topology Example current mirror equalities (monomial):

15 VCO topology Example saturation margin inequalities (posynomial):

16 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

17 Second-order PLL system-level equations, in monomial form

18 Power consumption (posynomial)

19 Accumulated jitter, T aj (posynomial) σ j κ t 0.5 From McNeil (JSSC 1997): Using Hajimiri s phase noise model (JSSC 1998):

20 Static phase error, T err (posynomial) G D S I D Using Pelgrom s mismatch model (JSSC 1989 ):

21 Outline Motivation Geometric programming (GP) GP compatible transistor models Clock generation PLL topology PLL design in GP form Silicon results

22 GP vs. Silicon 0.18um PLL arrays # Fref [MHz] Fvco [MHz] T pj [ps] Φ e [ps] Power [mw] GP Meas T aj [ps] GP Meas Good agreement between GP and silicon meas.

23 GP vs. Silicon 0.13um PLL arrays # Fref [MHz] Fvco [MHz] T pj [ps] Φ e [ps] Power [mw] GP Meas T aj [ps] GP Meas Good agreement between GP and silicon meas.

24 Acc. jitter vs. F vco trade-off analysis Acc. jitter (ps) VCO frequency range (MHz)

25 Power vs. F vco trade-off analysis 35 Power (mw) VCO frequency range (MHz)

26 Automated design does not translate into performance degradation PLL Fref Fvco Taj,noise Tpj,noise # [MHz] [MHz] [ps] [ps]-[%tvco/%vdd] Simulated 0.13µm, worst case PVT (FF, -40C or 125C) with 10% step on Vdd Comparison to Literature 0.10 %Tvco/%Vdd for 2.5V, MHz PLL (M. Mansuri, ISSCC 2003) 0.08 %Tvco/%Vdd for 2.0V, MHz PLL with voltage regulator (V. Van Kaenel, JSSC 1998) Room temperature with 10% step on Vdd

27 Conclusions First demonstration of fully-automated PLL design, from specification to GDSII PLL design problem cast in GP form reduces design time from weeks to hours Measured 0.18 µm and 0.13 µm CMOS PLL arrays agree with GP predictions (e.g. 1.9 GHz, 11 mw PLL with 5.8 ps long-term jitter) Robust, systematic, and efficient PLL design

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici zradu@eecs.berkeley.edu http://www.eecs.berkeley.edu/~zradu Department of Electrical Engineering and Computer Sciences University

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS) Q. Sun a,b, K. Jaaskelainen a, I. Valin a, G. Claus a, Ch. Hu-Guo a, Y. Hu a, a IPHC (Institut

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James October 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks compared

More information

Regularity for Reduced Variability

Regularity for Reduced Variability Regularity for Reduced Variability Larry Pileggi Carnegie Mellon pileggi@ece.cmu.edu 28 July 2006 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Set Up a PLL Loop Filter on the ez80f91 MCU

Set Up a PLL Loop Filter on the ez80f91 MCU Application Note Set Up a PLL Loop Filter on the ez80f91 MCU AN017504-0108 Abstract This document provides information that will help an application developer effectively use the ez80f91 MCU s on-chip

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

Clock Tree Design Considerations

Clock Tree Design Considerations Tree Design Considerations Hardware design in high performance applications such as communications, wireless infrastructure, servers, broadcast video and test and measurement is becoming increasingly complex

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Typical Applications Base Stations C MHz 700 MHz. Reference Frequency. Parameter Min Typ Max Units Condition

Typical Applications Base Stations C MHz 700 MHz. Reference Frequency. Parameter Min Typ Max Units Condition FX-401 Frequency Controlled Crystal Oscillator Features Reflow Process Compatible Surface Mount Package Low Phase Noise Build in PLL-Circuit Typical Applications Base Stations Previous Vectron Model Number

More information

VCXO. Contact Sales. North America T: +1 (800) Asia T: All Other Regions T: +1 (508)

VCXO. Contact Sales.  North America T: +1 (800) Asia T: All Other Regions T: +1 (508) Contact Sales North America T: +1 (800) 982-5737 Asia T: +65-6481-1466 All Other Regions T: +1 (508) 435-6831 frequencysales@ctscorp.com www.ctscorp.com 2016.10 - KGC CTS broad portfolio of Voltage Controlled

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

AS CMOS TECHNOLOGY advances and the ratio between

AS CMOS TECHNOLOGY advances and the ratio between IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 60, NO. 6, JUNE 2013 311 A 990-μW 1.6-GHz PLL Based on a Novel Supply-Regulated Active-Loop-Filter VCO Kwang-Chun Choi, Sung-Geun Kim,

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs ASNT8050-PQB 7.4-8.8GHz and 10.8-12.7GHz Programmable PLL with integrated VCOs Programmable clock multiplier (CMU) with two selectable frequency ranges of internal PLL Optional operational mode as a clock

More information

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter 1960 E. Grand Ave., 2 nd Floor El Segundo, California 90245 Phone: 310.955.5300 Fax: 310.955.5400 Technical Note Oscillator Jitter and How to Measure It Introduction Jitter is a term that is becoming widely

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999 Bradley D. McCredie Senior Technical Staff Member Server Group, Austin August 14, 1999 Presentation Overview Design objectives Chip overview Technology Circuits Implementation Results Test Chip Objectives

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

ESD Sensitive. Parameters Minimum Typical Maximum Units Notes ppm. Parameters Minimum Typical Maximum Units Notes

ESD Sensitive. Parameters Minimum Typical Maximum Units Notes ppm. Parameters Minimum Typical Maximum Units Notes Moisture Sensitivity Level (MSL) 1 FEATURES: LVCMOS, LVDS or LVPECL output option +2.5V or +3.3V operation -40 C to +85 C standard operating temperature range Ultra low RMS Jitter: 0.5ps typical, 1.0ps

More information

Mixed Signal IP Design Guide

Mixed Signal IP Design Guide Mixed Signal IP Design Guide Vol13 Iss2 v3, Nov. 5, 2013 The Leading Provider of High-Performance Silicon-Proven Mixed-Signal IP BENEFITS Integrate Mixed-Signal Content into Your SoC Improve Performance

More information

ASNT1016-PQA 16:1 MUX-CMU

ASNT1016-PQA 16:1 MUX-CMU 16:1 MUX-CMU 16 to 1 multiplexer (MUX) with integrated CMU (clock multiplication unit). PLL-based architecture featuring both counter and forward clocking modes. Supports multiple data rates in the 9.8-12.5Gb/s

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

1.8V/3.0V Single-PLL Clock Generator AK8150C

1.8V/3.0V Single-PLL Clock Generator AK8150C AK8150C 1.8V/3.0V Single-PLL Clock Generator AK8150C Features Input Frequency: 38 MHz (1.8V or 3.0V) PLL Output Frequency: 12 MHz (1.8V or 3.0V) REF Output Frequency: 38 MHz or 19 MHz (1.8V or 3.0V) Low

More information

1.8V/3.0V Single-PLL Clock Generator

1.8V/3.0V Single-PLL Clock Generator ASAHI KASEI EMD CORPORATION 1.8V/3.0V Single-PLL Clock Generator Features Input Frequency: 36 MHz (1.8V or 3.0V) PLL Output Frequency: 12 MHz (1.8V or 3.0V) REF Output Frequency: 36 MHz or 18 MHz (1.8V

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University) applies to general (nontree) RC

More information

DATA SHEET. Features. General Description. Block Diagram

DATA SHEET. Features. General Description. Block Diagram LVCMOS Clock Generator ICS870919I DATA SHEET General Description The ICS870919I is an LVCMOS clock generator that uses an internal phase lock loop (PLL) for frequency multiplication and to lock the low-skew

More information

A Power Optimization Method for CMOS Op-Amps Using Sub-Space Based Geometric Programming

A Power Optimization Method for CMOS Op-Amps Using Sub-Space Based Geometric Programming Power Optimization Method for CMOS Op-mps Using Sub-Space Based Geometric Programming Wei Gao Computer Science & Engineering Department York University Toronto, Canada gaowei@cse.yorku.ca Richard Hornsey,

More information

hal , version 1-14 Feb 2012 Abstract

hal , version 1-14 Feb 2012 Abstract Author manuscript, published in "Microelectronics Reliability, 9 () p14-18" DOI : 16/jmicrorel7 Ageing effect on electromagnetic susceptibility of a phase-locked-loop B Li 1, A Boyer, S Bendhia, C Lemoine

More information

Register Programmable Clock Generator AK8141

Register Programmable Clock Generator AK8141 ASAHI KASEI EMD CORPORATION Register Programmable Clock Generator Features Input Frequency: 48MHz/24MHz/12MHz/27MHz (Selectable) Output Frequency: 27MHz 50MHz by 1MHz step, 33.75MHz/40.5MHz/49.5MHz (Selectable)

More information

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Retargeting Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, and C-J. Richard Shi Department of

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz Datasheet The SLC is a very affordable single or dual clock 7 GHz synthesizer that exhibits outstanding phase noise and jitter performance in a very

More information

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Andrew Cole VP, Silicon Creations Chris Clee Product Marketing Manager, Calibre Parasitic Extraction Products Agenda:

More information

Operating temperature Topr 40 to +85 C Storage temperature Tstg 55 to +125 C

Operating temperature Topr 40 to +85 C Storage temperature Tstg 55 to +125 C Ordering number : EN3356A SANYO Semiconductors DATA SHEET LC7185-8750 Overview This 27 MHz band, PLL frequency synthesizer LSI chip is designed specifically for CB transceivers. The specifications are

More information

CAP+ CAP. Loop Filter

CAP+ CAP. Loop Filter STS-12/STS-3 Multirate Clock and Data Recovery Unit FEATURES Performs clock and data recovery for 622.08 Mbps (STS-12/OC-12/STM-4) or 155.52 Mbps (STS-3/OC-3/STM-1) NRZ data 19.44 MHz reference frequency

More information

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook

TPZ013GV3 TSMC 0.13um Standard I/O Library. Databook TPZ013GV3 TSMC 0.13um Standard I/O Library Databook Version 220C May 11, 2007 Copyright 2007 Taiwan Semiconductor Manufacturing Company Ltd. All Rights Reserved No part of this publication may be reproduced

More information

TC9256APG, TC9256AFG, TC9257APG, TC9257AFG

TC9256APG, TC9256AFG, TC9257APG, TC9257AFG PLL for DTS TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC9256, 57APG/AFG TC9256APG, TC9256AFG, TC9257APG, TC9257AFG The TC9256APG, TC9256AFG, TC9257APG and TC9257AFG are phase-locked loop

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

CARDINAL COMPONENTS, INC.

CARDINAL COMPONENTS, INC. SERIES CJTDAE CJTDAL The Cardinal Cappuccino Crystal Oscillator LVDS/ LVPECL TCXO Features 3.3V supply voltage- configurable 10MHz to 250MHz LVDS and LVPECL outputs- configurable Better than 2Hz tuning

More information

Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages

Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages ECE Department, University of California, Davis Wayne H. Cheng and Bevan M. Baas Outline Background and Motivation Implementation

More information

Fractional Divider Evaluation Board AD9858FDPCB

Fractional Divider Evaluation Board AD9858FDPCB Fractional Divider Evaluation Board AD9858FDPCB INTRODUCTION The AD9858 is a 1 GHz direct digital synthesizer (DDS) featuring a 10-bit DAC, an RF mixer, and on-chip PLL synthesis blocks. Used in conjunction,

More information

IEEE 1394a_2000 Physical Layer ASIC

IEEE 1394a_2000 Physical Layer ASIC IEEE 1394a_2000 Physical Layer ASIC Ranjit Yashwante, Bhalchandra Jahagirdar ControlNet (India) Pvt. Ltd. www.controlnetindia.com {ranjit, jahagir}@controlnet.co.in Abstract CN4011A is IEEE 1394a_2000

More information

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp.

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp. Networks for Multi-core hips A A ontrarian View Shekhar Borkar Aug 27, 2007 Intel orp. 1 Outline Multi-core system outlook On die network challenges A simple contrarian proposal Benefits Summary 2 A Sample

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

A Novel DPS Integrator for Fast CMOS Imagers

A Novel DPS Integrator for Fast CMOS Imagers ISCAS 08: A Novel DPS for Fast CMOS Imagers Intro Reset-Issues Novel-PDM CMOS Results Conclusions 1/17 A Novel DPS Integrator for Fast CMOS Imagers J. M. Margarit, J. Sabadell, L. Terés and F. Serra-Graells

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics C1 - PLL linear analysis» PLL basics» Application examples» Linear analysis» Phase error 26/03/2014-1 ATLCE - C1-2014 DDC 2014

More information

CARDINAL COMPONENTS, INC.

CARDINAL COMPONENTS, INC. SERIES CJAL CJAE The Cardinal Cappuccino Crystal Oscillator LVDS/ LVPECL Features 2.5V or 3.3V supply voltageconfigurable 10MHz to 250MHz LVDS and LVPECL outputs- configurable Better than 2Hz tuning resolution

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

5MP Global Shutter. High Dynamic Range Global Shutter CMOS Sensor

5MP Global Shutter. High Dynamic Range Global Shutter CMOS Sensor High Dynamic Range Global Shutter CMOS Sensor 5MP Global Shutter 1. Background CMOS Image sensors with a global shutter (GS) are ideal for a variety of applications including factory automation, unmanned

More information

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module CCS Technical Documentation NHL-2NA Series Transceivers Issue 1 07/02 Nokia Corporation NHL-2NA CCS Technical Documentation [This page left intentionally blank] Page 2 Nokia Corporation Issue 1 07/02 CCS

More information

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches Ken K. -Y. Chang, William Ellersick, Shang-Tse Chuang, Stefanos Sidiropoulos, Mark Horowitz, Nick McKeown: Computer System Laboratory,

More information

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004 Analog CMOS IC Design Improved Initial Overdrive Sense-Amplifier For Low-Voltage DRAMS Esayas Naizghi April 30, 2004 Overview 1. Introduction 2. Goals and Objectives 3. Gate Sizing Theory 4. DRAM Introduction

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

12 LVDS/24 CMOS Output Clock Generator with Integrated 2.4 GHz VCO AD9522-1

12 LVDS/24 CMOS Output Clock Generator with Integrated 2.4 GHz VCO AD9522-1 12 LVDS/24 CMOS Output Clock Generator with Integrated 2.4 GHz VCO AD9522-1 FEATURES Low phase noise, phase-locked loop (PLL) On-chip VCO tunes from 2.27 GHz to 2.65 GHz Supports external 3.3 V/5 V VCO/VCXO

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit

CARDINAL COMPONENTS. FREQUENCY A MHz. Specifications: Min Typ Max Unit Re-Configurable 6 Output PECL TCXO Fixed & Re-Configurable Multi-Frequency Oscillator Intuitive software and I 2 C interface Easily update system Industry-standard packaging saves on board space Mult.

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

MAS9278 IC for MHz VCXO

MAS9278 IC for MHz VCXO IC for 10.00 30.00 MHz XO Low Power Wide Supply Voltage Range True Sine Wave Output Very High Level of Integration Integrated Varactor Electrically Trimmable Very Low Phase Noise Low Cost DESCRIPTION The

More information

Selecting PLLs for ASIC Applications Requires Tradeoffs

Selecting PLLs for ASIC Applications Requires Tradeoffs Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

Low Voltage Bandgap References and High PSRR Mechanism

Low Voltage Bandgap References and High PSRR Mechanism Low Voltage Bandgap References and High PSRR Mechanism Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department, State Engineering University of Armenia Moscow March 21-24, 2011 Outline

More information

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT)

Description of Circuit. Fine Time Measurement : LVDS Receiver/Dribver. Production Readiness Review ATLAS Muon TDC (AMT) ATLAS Production Readiness Review ATLAS Muon TDC (AMT) 2 June 22@CERN Yasuo Arai (KEK) yasuo.arai@kek.jp http://atlas.kek.jp/tdc/prr/ Description of Circuit Fine Time Measurement : LVDS Receiver/Dribver

More information

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits Rev 2; 4/08 106.25MHz/212.5MHz/425MHz General Description The DS4106, DS4212, and DS4425 ceramic surfacemount crystal oscillators are part of Maxim s DS4-XO series of crystal oscillators. These devices

More information

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting 1 On Constructing Lower Power and Robust Clock Tree via Slew Budgeting Yeh-Chi Chang, Chun-Kai Wang and Hung-Ming Chen Dept. of EE, National Chiao Tung University, Taiwan 2012 年 3 月 29 日 Outline 2 Motivation

More information

When will the cost of dependability end innovation in computer design?

When will the cost of dependability end innovation in computer design? When will the cost of dependability end innovation in computer design? VTS-2015 panel session Andrew B. Kahng UCSD CSE and ECE Departments abk@ucsd.edu http://vlsicad.ucsd.edu 1 Huh??? IMHO, The cost of

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6.

2 AA Cell to 3.3V USB On-The-Go Devices White LED Drivers Handheld Devices. The HM3200B is available in the 6-pin SOT23-6. Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 3.3V ± 4% Output VIN Range: 1.8V to 5V Output Current: 100mA Constant Frequency Operation at All Loads Low Noise Constant Frequency (1.2MHz)

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

HX4002 HX1001. White LED Backlighting Li-Ion Battery Backup Supplies Local 3V to 5V Conversion Smart Card Readers PCMCIA Local 5V Supplies

HX4002 HX1001. White LED Backlighting Li-Ion Battery Backup Supplies Local 3V to 5V Conversion Smart Card Readers PCMCIA Local 5V Supplies HX1001 Low Noise, Regulated Charge Pump DC/DC Converter Features Fixed 5V±4% Output VIN Range: 2.7V ~ 5V Output Current: up to 250mA (V IN =4.5V) Low Noise Constant Frequency Operation Shutdown Current:

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

A Multi-Channel Wide Range Time-to- Digital Converter with Better than 9ps RMS Precision for Pulsed Time-of-flight Laser Rangefinding

A Multi-Channel Wide Range Time-to- Digital Converter with Better than 9ps RMS Precision for Pulsed Time-of-flight Laser Rangefinding A Multi-Channel Wide Range Time-to- Digital Converter with Better than 9ps RMS Precision for Pulsed Time-of-flight Laser Rangefinding Jussi-Pekka Jansson, Antti Mäntyniemi and Juha Kostamovaara Department

More information

Fast Analog Design Optimization using Regression based Modeling and Genetic Algorithm: A Nano-CMOS VCO Case Study

Fast Analog Design Optimization using Regression based Modeling and Genetic Algorithm: A Nano-CMOS VCO Case Study Fast Analog Design Optimization using Regression based Modeling and Genetic Algorithm: A Nano-CMOS VCO Case Study Dhruva Ghai 1, Saraju P. Mohanty 2 and Garima Thakral 3. Department of Electronics and

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

unit: mm 3067-DIP24S unit: mm 3112-MFP24S

unit: mm 3067-DIP24S unit: mm 3112-MFP24S Ordering number : EN4973A CMOS LSI AM/FM PLL Frequency Synthesizer Overview The LC72130 and LC72130M are PLL frequency synthesizers for use in tuners in radio cassette recorders and other products. Applications

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET DATASHEET ICS548A-03 Description The ICS548A-03 is a low cost, low skew, high-performance general purpose clock designed to produce a set of one output clock, one inverted output clock, and one clock divided-by-two.

More information

PI6LC48L0201A 2-Output LVDS Networking Clock Generator

PI6LC48L0201A 2-Output LVDS Networking Clock Generator Features ÎÎTwo differential LVDS output pairs ÎÎSelectable crystal oscillator interface or LVCMOS/LVTTL single-ended clock input ÎÎSupports the following output frequencies: 62.5MHz, 125MHz, 156.25MHz

More information