POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS

Size: px
Start display at page:

Download "POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS"

Transcription

1 POWER PERFORMANCE OPTIMIZATION METHODS FOR DIGITAL CIRCUITS Radu Zlatanovici Department of Electrical Engineering and Computer Sciences University of California, Berkeley

2 Power and Performance with Scaling If we continue doing business as usual, both dynamic and leakage power will be a problem chips are getting hot and phones leaky! Need to deliver maximum performance under power constraints From S. Borkar, Intel 2

3 A Common Problem Logic Logic Logic Need to reduce power by 30%, while willing to give up 3% of performance What to do: Decrease supply? Increase thresholds? Downsize? Downsize latches or logic? Use dual supplies? Re-pipeline? Parallelize? 3

4 Outline Design as a power performance optimization problem Fundamentals of circuit optimization Design examples Dealing with variations Conclusions Collaborative effort: Students: R. Zlatanovici, D. Markovic, L.-T. Pang, J. Garrett, S. Kao Faculty: B. Nikolic, R. Brodersen 4

5 Power Performance Optimization Power Initial design Power-optimal design Design within power budget Cycle time OPTIMAL POWER PERFORMANCE TRADEOFF CURVE 5

6 Power Limited Operation Power Unoptimized design P max P min D min D max Delay Achieve the highest performance under the power cap 6

7 Power Limited Operation Power P max P min Unoptimized design Var1 Design optimization curves D min D max Delay Achieve the highest performance under the power cap 7

8 Power Limited Operation Power P max P min Unoptimized design Var2 Var1 Design optimization curves D min D max Delay Achieve the highest performance under the power cap 8

9 Power Limited Operation Power P max P min Unoptimized design Var2 Var1 Var1 + Var2 Design optimization curves D min D max Delay How far away are we from the optimal solution? 9

10 Power Limited Operation Power P max P min Global Unoptimized design Var2 Var1 Var1 + Var2 Design optimization curves D min D max Delay Global optimum best performance 10

11 Design Optimization There are many sets of parameters to adjust: Circuit (sizing, supply, threshold Logic style (domino, static, pass-gate, Block topology (adder: CLA, CSA, RCA, Micro-architecture (parallel, pipelined Power topology A topology B Delay 11

12 Design Optimization There are many sets of parameters to adjust: Circuit (sizing, supply, threshold Logic style (domino, static, pass-gate, Block topology (adder: CLA, CSA, RCA, Micro-architecture (parallel, pipelined Power topology A topology B Delay Globally optimal boundary curve: pieces of E-D curves for different topologies 12

13 Outline Design as a power performance optimization problem Fundamentals of circuit optimization Design examples Dealing with variations Conclusions 13

14 Optimization Problem min x R g h i j n ( x ( x f ( x subject to 0 i = 1.. m = 0 j = 1.. p f(x αx 1 +(1- αx 2 Very difficult in the general case Optimality not guaranteed Convex Optimization f, g i convex, h j linear Key property: every local minimum is a global minimum Optimality guaranteed x 1 Y x 2 x 14

15 Circuit Optimization Plug-ins Models Netlist Optimization Goal Variables Optimization Core Static timer (C++ Cycle time, Power Optimizer (Matlab Design Variables Results Optimal Design 15

16 Power Constrained Optimization Problem Minimize DELAY subject to Maximum POWER Basic Result: Power - Performance tradeoff curve Constraints: Maximum output slew Maximum internal slew Maximum input capacitance Minimum sizes Power Delay 16

17 PD, PD 2, P 2 D : Obsolete Power P 0 D 1 P a D b P 1 D 0 Delay ALL P-D METRICS ARE INCLUDED IN THE TRADEOFF CURVE 17

18 Choice of Models ANALYTICAL - Limited accuracy + Fast parameter extraction + Provide insight in the operation of the circuit + Can exploit their mathematical properties to help optimization Target: convex optimization TABULATED + Very accurate - Slow to generate - No insight in the operation of the circuit - Can t guarantee convexity - Optimization is blind If convex models are any good, the optimization problem is not very nonconvex 18

19 W 1 W 2 W 3 W 4 C L Logical Effort: Delay of a Logic Path t D C in = p + = g C C g C inv L in W b = C on path + C C off on path path g 1, p 1 g 2, p 2 g 3, p 3 g 4, p 4 D = N N N Cload, i p + = + i gi pi C i= 1 in, i i= 1 i= 1 g i bi g g i+ 1 i W W i i+ 1 19

20 Posynomial Functions Definition of a posynomial: p( x γ j i= 1 A posynomial can be converted to a convex function using a simple change of variables: x i = e Z i for x i 0 Logical effort delay is posynomial Fishburn, ICCAD 85: Elmore delay formula can be written as a posynomial Switching energy is linear in W i = j n posynomial Convex optimization with posynomials is called geometric programming x α i ij α ij R γ j R + 20

21 V DD - Dependent Analytical Delay Model d Gate equivalent resistance can be computed from analytical saturation current models (a reduced form of the BSIM3v3 equation R EQ V 1 = V / 2 DD V DS ( β1v DD + β W K( V Include supply and threshold dependency in the delay model: CL = c2 REQ ( W, VDD, VTH + c1req ( W, VDD, VTH + ( η 0 + η1v DD t Cin Accurate over a reasonable yet limited range of fanouts (2.5-6, supplies and threshold (+/- 30% Most datapath blocks are within this range Compatible with convex optimization Captures dependencies on V DD and V TH Work by Joshua Garrett DD DD V I dv DS = 3 4 V DD 0 + V V V 2 (1 7V 9V / 2 DSAT DD TH A DD TH DD they can be optimization variables s, in 21

22 Vdd and W Optimization 22

23 Vth,Vdd and W Optimization 23

24 Outline Design as a power performance optimization problem Fundamentals of circuit optimization Design examples Dealing with variations Conclusions 24

25 Dual V DD ALU in Domino Logic clock gen. INV2 9:1 MUX 9:1 MUX ain0 5:1 MUX 2:1 MUX : V DDH circuit : V DDL circuit ain bin clk gp gen. R4 SP4 carry tree partial sum logical unit carry sum sel. s0/s1 sum INV1 0.5pF Y. Shimazaki, R. Zlatanovici, B. Nikolic: A Shared Well Dual Supply Voltage 64-bit ALU ISSCC 03, JSSC 03/

26 Extending the Space: Dual Supply Energy [pj] V DDL decreases. Room temp. V DDH =V DDL V DDH =2.0 V DDH =1.8 V DDH = T CYCLE [ns] 26

27 CLA Adders in E-D Space Adders are common in critical paths CLA adders: Many designs, commonly used in practice Recent interest in sparse adders No fair comparison in energy delay space This work: Optimization of representative 64-bit adders in energy delay space Optimal 64-bit adder design R. Zlatanovici, B. Nikolic: Power Performance Optimal 64-Bit Carry-Lookahead Adders, ESSCIRC

28 64-Bit CLA Adders Generic 64-bit adder block diagram a [ 0:63 ] b [ 0:63 ] Carry Tree Sum Precompute Carry[...] S0[0:63] S1[0:63] Sum Select Sum [ 0:63 ] Classical CLA, Ling equations Static, single-rail domino, compound domino logic Radix-2 and radix-4 carry trees Full and sparse trees (sparseness of 2 and 4 Use tabulated delay and analytical energy models (switching, leakage 28

29 Equation Set Comparison Energy [pj] R2 Ling R2 CLA R4 Ling R4 CLA Delay [FO4] Ling adders achieve shorter delays Radix-4 are faster than radix-2 29

30 Chosing a Logic Style Energy [pj] Static R2 Domino R4 Domino R2 Compound Domino R Delay [FO4] Static adders are low power but slow Domino logic is the choice for short cycle times 30

31 Full vs. Sparse Trees (a 0, b 0 (a 1, b 1 R2 Full S 0 S 1 (a 0, b 0 (a 1, b 1 R2 SP2 S 0 S 1 Sparse trees: (a 2, b 2 (a 3, b 3 (a 4, b 4 S 2 S 3 S 4 (a 2, b 2 (a 3, b 3 (a 4, b 4 S 2 S 3 S 4 Heavier load on the carry tree (a 5, b 5 (a 6, b 6 (a 7, b 7 S 5 S 6 S 7 (a 5, b 5 (a 6, b 6 (a 7, b 7 S 5 S 6 S 7 Reduced input loading (a 8, b 8 (a 9, b 9 (a 10, b 10 (a 11, b 11 S 8 S 9 S 10 S 11 (a 8, b 8 (a 9, b 9 (a 10, b 10 (a 11, b 11 S 8 S 9 S 10 S 11 More complex sum precompute gates (a 12, b 12 S 12 (a 12, b 12 S 12 (a 13, b 13 S 13 (a 13, b 13 S 13 (a 14, b 14 S 14 (a 14, b 14 S 14 (a 15, b 15 S 15 (a 15, b 15 S 15 31

32 Proof of Existence: Fastest Adder Radix-4 sparse-2 domino Ling adder Technology: 90 nm 1P 7M V DD = 1 V Performance: Delay: 210 ps (post layout simulation Energy: 9.1 pj / cycle (optimization tool Core dimensions: mm x 75.3 mm Chip to be taped out 11/1/04 *with Sean Kao 32

33 Micro-Architecture Optimization: Power4 FPU Exponent Path (non-critical B A C Pad & Align Multiplier FA bit adder cycles 2-phase clocking Static CMOS Normalize 53 33

34 Optimizing Pipelined Circuits Models: Posynomials Block Level Netlist Minimize T CYCLE Subject to Maximum ENERGY Gate Sizes Latch Positions Cycle boundaries: transparent latches COMBINATIONAL LOGIC Static timer Optimizer Optimal Pipeline Configuration Fix pipeline depth Find shortest cycle time for fixed cutset Search for optimum cutset Work in progress 34

35 Outline Design as a power performance optimization problem Fundamentals of circuit optimization Design examples Dealing with variations Conclusions 35

36 Robust Optimization Parameters are within an ellipsoid centered on the nominal values Optimize the worst case Compatible with convex optimization for the presented analytical models Problem: computing the ellipsoid 36

37 Stochastic Optimization for Yield Parameters are random variables centered on the nominal values Optimize for a desired yield min x R subject to g i n f ( x ( x 0 i = 1.. m Compatible with convex optimization under certain conditions Convex analytical models Jointly Gaussian parameters min subject to P( g ( x Problem: finding the distributions of the parameters, especially the correlations x R n i f ( x 0, i = 1.. m η 37

38 Impact of Layout on Variations Stacked gates vs. non-stacked gates (e.g. gates vs. buffers Proximity effects, orientation of gates, metal layer above gate (annealing PolySi gates Dummy gates M1 M1 Stacked gates Work by Liang Teck Pang Non-stacked gates 38

39 Test Chip 90nm 1P 7M V DD = 1 V 1.55 x 1.17 mm 2 Taped out 9/04 To be packaged and tested Measurements will provide statistical data for the stochastic optimizer 39

40 Conclusions Power and performance are the two sides of the same coin. The connection: the power performance tradeoff curve. Built a suite of stackable tools to design power performance optimal circuits Design space explorations: Different optimization variables Various levels of abstraction Impact of variations Experimented the tool suite on various designs Dual-supply ALU CLA Adders in the E-D space 40

Lecture 5. Other Adder Issues

Lecture 5. Other Adder Issues Lecture 5 Other Adder Issues Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 24 by Mark Horowitz with information from Brucek Khailany 1 Overview Reading There

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

MOS High Performance Arithmetic

MOS High Performance Arithmetic MOS High Performance Arithmetic Mark Horowitz Stanford University horowitz@ee.stanford.edu Arithmetic Is Important Then Now (TegraK1) 2 What Is Hard? 9999999 + 1 3 Proof: 1 st Gen 2 nd Gen 4 And Getting

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 2, FEBRUARY

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 2, FEBRUARY IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 2, FEBRUARY 2009 569 Energy Delay Optimization of 64-Bit Carry-Lookahead Adders With a 240 ps 90 nm CMOS Design Example Radu Zlatanovici, Member, IEEE,

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Microprocessor and DSP Technologies for the Nanoscale Era

Microprocessor and DSP Technologies for the Nanoscale Era Microprocessor and DSP Technologies for the Nanoscale Era Seminar 1 Ram Kumar Krishnamurthy Microprocessor Research Labs Intel Corporation, Hillsboro, OR ram.krishnamurthy@intel.com 1 July 5, 2005 Intel

More information

Arithmetic Logic Unit. Digital Computer Design

Arithmetic Logic Unit. Digital Computer Design Arithmetic Logic Unit Digital Computer Design Arithmetic Circuits Arithmetic circuits are the central building blocks of computers. Computers and digital logic perform many arithmetic functions: addition,

More information

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2-2 Arithmetic Components and Their Efficient Implementations I understand the control inputs to counters I can design logic to control the inputs of counters to create

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Power-Optimal Pipelining in Deep Submicron Technology Λ

Power-Optimal Pipelining in Deep Submicron Technology Λ 8. Power-Optimal Pipelining in Deep Submicron Technology Λ Seongmoo Heo and Krste Asanović MIT Computer Science and Artificial Intelligence Laboratory Vassar Street, Cambridge, MA 9 fheomoo,krsteg@csail.mit.edu

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

High Performance and Low Power Design Techniques for ASIC and Custom in Nanometer Technologies. David Chinnery

High Performance and Low Power Design Techniques for ASIC and Custom in Nanometer Technologies. David Chinnery High Performance and Low Power Design Techniques for ASIC and Custom in Nanometer Technologies David Chinnery Outline Introduction Microarchitecture Clock distribution, clock gating, and registers Logic

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

VLSI Arithmetic Lecture 6

VLSI Arithmetic Lecture 6 VLSI Arithmetic Lecture 6 Prof. Vojin G. Oklobdzija University of California http://www.ece.ucdavis.edu/acsel Review Lecture 5 Prefix Adders and Parallel Prefix Adders from: Ercegovac-Lang Oklobdzija 2004

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

POWER consumption has become one of the most important

POWER consumption has become one of the most important 704 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Brief Papers High-Throughput Asynchronous Datapath With Software-Controlled Voltage Scaling Yee William Li, Student Member, IEEE, George

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Total Power-Optimal Pipelining and Parallel Processing under Process Variations in Nanometer Technology

Total Power-Optimal Pipelining and Parallel Processing under Process Variations in Nanometer Technology otal Power-Optimal Pipelining and Parallel Processing under Process ariations in anometer echnology am Sung Kim 1, aeho Kgil, Keith Bowman 1, ivek De 1, and revor Mudge 1 Intel Corporation, Hillsboro,

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWare http://ocw.mit.edu 6.004 Computation Structures Spring 009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. M A S S A C H U S E T T

More information

Dynamic Logic Families

Dynamic Logic Families Dynamic Logic Families C.K. Ken Yang UCLA yangck@ucla.edu Courtesy of MAH,JR 1 Overview Reading Rabaey 6.3 (Dynamic), 7.5.2 (NORA) Overview This set of notes cover in greater detail Dynamic Logic Families

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

! Design Methodologies.  Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies.  Custom, Semi-Custom (cell-based, array-based) ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Lecture Outline Design Methodologies Hierarchy, Modularity, Regularity, Locality Implementation

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Regularity for Reduced Variability

Regularity for Reduced Variability Regularity for Reduced Variability Larry Pileggi Carnegie Mellon pileggi@ece.cmu.edu 28 July 2006 CMU Collaborators Andrzej Strojwas Slava Rovner Tejas Jhaveri Thiago Hersan Kim Yaw Tong Sandeep Gupta

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits

EE241 - Spring 2004 Advanced Digital Integrated Circuits EE24 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolić Lecture 2 Impact of Scaling Class Material Last lecture Class scope, organization Today s lecture Impact of scaling 2 Major Roadblocks.

More information

DESIGN METHODS IN SUB-MICRON TECHNOLOGIES

DESIGN METHODS IN SUB-MICRON TECHNOLOGIES Chapter 1 DESIGN METHODS IN SUB-MICRON TECHNOLOGIES Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 Field Programmable Gate Arrays (FPGA) provides an

More information

Arithmetic Circuits. Nurul Hazlina Adder 2. Multiplier 3. Arithmetic Logic Unit (ALU) 4. HDL for Arithmetic Circuit

Arithmetic Circuits. Nurul Hazlina Adder 2. Multiplier 3. Arithmetic Logic Unit (ALU) 4. HDL for Arithmetic Circuit Nurul Hazlina 1 1. Adder 2. Multiplier 3. Arithmetic Logic Unit (ALU) 4. HDL for Arithmetic Circuit Nurul Hazlina 2 Introduction 1. Digital circuits are frequently used for arithmetic operations 2. Fundamental

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 5, MAY 1998 707 Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor James A. Farrell and Timothy C. Fischer Abstract The logic and circuits

More information

Micro transductors 08

Micro transductors 08 Micro transductors 08 Low Power VLSI Design 2 Dr.-Ing. Frank Sill Department of Electrical Engineering, Federal University of Minas Gerais, Av. Antônio Carlos 6627, CEP: 31270-010, Belo Horizonte (MG),

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Chung-Kuan Cheng, Andrew B. Kahng, Kambiz Samadi and Amirali Shayan June 13, 2010 CSE and ECE Departments University of California,

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Department of Electrical and Computer Engineering

Department of Electrical and Computer Engineering LAGRANGIAN RELAXATION FOR GATE IMPLEMENTATION SELECTION Yi-Le Huang, Jiang Hu and Weiping Shi Department of Electrical and Computer Engineering Texas A&M University OUTLINE Introduction and motivation

More information

Wcapacitive-touch controls for

Wcapacitive-touch controls for Adding a Capacitive-Touch User Interface With Resources Already Present In Your System JONATHAN DILLON, MICROCHIP TECHNOLOGY INC. For many systems, the building blocks for implementing capacitive sensing,

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

Gate Sizing by Lagrangian Relaxation Revisited

Gate Sizing by Lagrangian Relaxation Revisited Gate Sizing by Lagrangian Relaxation Revisited Jia Wang, Debasish Das, and Hai Zhou Electrical Engineering and Computer Science Northwestern University Evanston, Illinois, United States October 17, 2007

More information

CMPE 413/ CMSC 711. Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. GND. Input bus. Latches I[8]-I[15]

CMPE 413/ CMSC 711. Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. GND. Input bus. Latches I[8]-I[15] Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. Assigned: Fri, Nov 3rd Due: Tue, Dec. 19th Description: con1 I[15] I[14] I[13] GND I[12] I[11] I[10] I[9] con2 O[15]

More information

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy A 65nm Sub-V t SRAM Employing Sense-Amplifier Redundancy Naveen Verma and Anantha Chandrakasan Massachusetts Institute of Technology ISSCC 2007 Energy Minimization Minimum energy V DD for logic results

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 14 EE141

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 14 EE141 EECS 151/251A Fall 2017 Digital Design and Integrated Circuits Instructor: John Wawrzynek and Nicholas Weaver Lecture 14 EE141 Outline Parallelism EE141 2 Parallelism Parallelism is the act of doing more

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers Outline Introduction to Structured VLSI Design Integer Arithmetic and Pipelining Multiplication in the digital domain HW mapping Pipelining optimization Joachim Rodrigues Signed and Unsigned Integers n-1

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners.

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners. Features Maximum rated frequency: 133 MHz Low cycle-to-cycle jitter Input to output delay, less than 200ps Internal feedback allows outputs to be synchronized to the clock input Spread spectrum compatible

More information

EECS150 - Digital Design Lecture 09 - Parallelism

EECS150 - Digital Design Lecture 09 - Parallelism EECS150 - Digital Design Lecture 09 - Parallelism Feb 19, 2013 John Wawrzynek Spring 2013 EECS150 - Lec09-parallel Page 1 Parallelism Parallelism is the act of doing more than one thing at a time. Optimization

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Layered View of the Computer http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Recap Assembly/Machine Programmer View

More information

FPGA Power Reduction Using Configurable Dual-Vdd

FPGA Power Reduction Using Configurable Dual-Vdd FPGA Power Reduction Using Configurable Dual-Vdd 45.1 Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA {feil, ylin, lhe}@ee.ucla.edu ABSTRACT Power

More information

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University) applies to general (nontree) RC

More information

Ultra Low Power (ULP) Challenge in System Architecture Level

Ultra Low Power (ULP) Challenge in System Architecture Level Ultra Low Power (ULP) Challenge in System Architecture Level - New architectures for 45-nm, 32-nm era ASP-DAC 2007 Designers' Forum 9D: Panel Discussion: Top 10 Design Issues Toshinori Sato (Kyushu U)

More information

Course Project Part 1

Course Project Part 1 1 1 4 to 1 MUX with 8 bit Inputs A Complete Circuit 1 B 8 bit Enabler 3 C 8 bit MUX Merger 5 2 8 bit Adder A Complete Circuit 7 B Full Adder 9 Course Project Part 1 Table of Contents 1A 4 to 1 MUX with

More information

EECS150 - Digital Design Lecture 23 - High-Level Design (Part 1)

EECS150 - Digital Design Lecture 23 - High-Level Design (Part 1) EECS150 - Digital Design Lecture 23 - High-Level Design (Part 1) April 21, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-hdl1 Page 1 Introduction High-level Design Specifies: How data is moved around

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

Design and Characterization of High Speed Carry Select Adder

Design and Characterization of High Speed Carry Select Adder Design and Characterization of High Speed Carry Select Adder Santosh Elangadi MTech Student, Dept of ECE, BVBCET, Hubli, Karnataka, India Suhas Shirol Professor, Dept of ECE, BVBCET, Hubli, Karnataka,

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.0 Project: A -Bit Kogge-Stone Adder Project number: 1 Project Group: Name Project members Telephone E-mail Project

More information

Computer Architecture, IFE CS and T&CS, 4 th sem. Fast Adders

Computer Architecture, IFE CS and T&CS, 4 th sem. Fast Adders Fast Adders Adders Overview (not complete) 1-bit Adders CPA (Carry Propagate Adders) 3-operand Multi-operand Adders Half Adder HA Adder Array RCA Full Adder FA CSA CSKA CSLA CLA Bit Counter (m,k) Adder

More information

CD4023BC Buffered Triple 3-Input NAND Gate

CD4023BC Buffered Triple 3-Input NAND Gate CD4023BC Buffered Triple 3-Input NAND Gate General Description These triple gates are monolithic complementary MOS (CMOS) integrated circuits constructed with N- and P- channel enhancement mode transistors.

More information

EE577b. Register File. By Joong-Seok Moon

EE577b. Register File. By Joong-Seok Moon EE577b Register File By Joong-Seok Moon Register File A set of registers that store data Consists of a small array of static memory cells Smallest size and fastest access time in memory hierarchy (Register

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information