Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it*

Size: px
Start display at page:

Download "Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it*"

Transcription

1 Design Refinement of Embedded Analog/Mixed-Signal Systems and how to support it* Institut für Computertechnik ICT Institute of Computer Technology Univ.Prof. Dr. habil. Christoph Grimm Chair Embedded Systems Vienna University of Technology *partially supported by EC FP 6 ANDRES, No. IST BMBF/edacentrum SAMS, No. 01 M 3070

2 Beyond Moore! Receiver ADC Serial Interface Modulator/ demod. DSP Host processor Antenna front-end Calibration & Control Transmitter Microcontroller Memory Imaging DSP RF detector Temp. sensor Oscillator DAC Clock Generator to all blocks Power Management Audio DSP High Speed Serial Interface [Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions. OSCI, June 2008] Tightly interwoven SW/DSP and analog/mixed-signal functionality = Embedded Analog/Mixed-Signal System (E-AMS) C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

3 Concurrent Engineering with ISS, VP and TLM spectral properties Executable Specification ( C / C++ / UML ) quantization (digital) Hardware drift Development noise ISS, Virtual Prototype TLM Software Development Redesign System Integration Validation Redesign C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

4 Design refinement of E-AMS systems 1. SystemC AMS extensions 2. Design refinement of E-AMS 3. Conclusion & Outlook C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

5 Between functional model and implementation design abstraction modeling formalism use cases functional architecture data flow signal flow SystemC AMS extensions executable specification virtual prototyping architecture exploration implementation electrical networks integration validation [Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions. OSCI, June 2008] C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

6 SystemC AMS extensions SystemC methodologyspecific elements Transaction Level Modeling Cycle/bit Accurate Modeling etc. Electrical Linear Networks (ELN) modules terminals nodes AMS methodology-specific elements elements for AMS design refinement, etc. Linear DAE solver Linear Signal Flow (LSF) modules ports signals Timed Data Flow (TDF) modules ports signals Synchronization Scheduler User-defined AMS extensions modules ports signals (e.g. additional solvers/ simulators) SystemC Language Standard [Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions. OSCI, June 2008] C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

7 Timed Data Flow in SystemC AMS extensions cluster := set of connected TDF modules rate=2 rate=2 ipl f1 dec delay f2 order of computation ipl f1 f1 dec f2 delay cluster period delay=1 T=1 t0=0 C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

8 Timed Data Flow Example: Serializer TDF Module: primitive module! Attributes specify timed semantics SCA_TDF_MODULE(par2ser) { sca_tdf::sca_in<sc_bv<8> > in; sca_tdf::sca_out<bool> out; void set_attributes() { out.set_rate(8); out.set_delay(1); out.set_timestep(1, SC_MS);} processing() describes computation } void processing() { for (int i=7; i >= 0 ; i-- ) out.write(in.get_bit(i), i); } SCA_CTOR(par2ser); C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

9 Interfacing Timed Data Flow and SystemC (DE) Converter ports towards discrete event domain sca_tdf::sc_in < <type> > sca_tdf::sc_out < <type> > Note: Time in MR TDF may run ahead DE time! sc_time sca_get_time() C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

10 Linear Electrical Networks, Converters SC_MODULE(lp_filter_eln) { sca_tdf::sca_in<double> in; sca_tdf::sca_out<double> out; sca_eln::sca_node in_node, out_node; // nodes sca_eln::sca_node_ref gnd; // reference sca_eln::sca_r *r1; // resistor sca_eln::sca_c *c1; // capacitor sca_eln::sca_tdf2v *v_in; // converter TDF->U sca_eln::sca_v2tdf *v_out; // converter U->TDF SC_CTOR(lp_filter_eln) { v_in = new sca_eln::sca_tdf2v( v_in, 1.0); // scale factor 1.0 v_in->ctrl(in); v_in->p(in_node); v_in->n(gnd); r1 = new sca_eln::sca_r( r1, 10e3); // 10kOhm resistor r1->p(in); r1->n(out_node); } }; c1 = new sca_eln::sca_c( c1, 100e-6); // 100uF capacitor c1->p(out_node); c1->n(gnd); v_out = new sca_eln::sca_v2tdf( v_out, 1.0); // scale factor 1.0 v_out1->p(out_node); v_out1->n(gnd); v_out->ctrl(out); C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

11 Design refinement of E-AMS systems 1. SystemC AMS extensions 2. Design refinement of E-AMS 3. Conclusion & Outlook C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

12 Refinement of E-AMS spectral properties quantization noise drift Executable Specification ( C / C++ / UML ) Computation accurate ISS, (digital) Hardware Structure Transaction Virtual accurate Development level modelling Prototype Interface accurate Software Development Redesign System Integration Validation Redesign C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

13 Computation accurate model Quickly coded model must me available ASAP Adds non-ideal effects to executable, functional spec AMS extensions: non-ideal behavior can be written directly in C-code! void processing() // Mixer refined with distortions and noise { double rf = in1.read(); double lo = in2.read(); double rf_dist = (alpha gamma * rf * rf ) * rf; double mix_dist = rf_dist * lo; if_out.write( mix_dist + my_noise() ); } C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

14 Structure accurate, re-partitioned model Accurate partitioning of functional blocks to analog, digital, SW. Structures/Methods like in implementation Adapt MoC Analog: Signal flow, Network Digital HW: TDF, DE ( or TLM) Quick top-down change by static polymorphism SCA_MoC_MODULE(par2ser) { } sca_moc::sca_in<sc_bv<8> > in; sca_moc::sca_out<bool> out; SCA_CTOR(par2ser) C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

15 Structure accurate, re-partitioned model Easy integration of buttom up available blocks by converter channels (= analog transactors ) Avoids development of wrapper DSP A D CPU A D TP TP RAM 90 LO LNA Converter Channel U bias U in + U in - U out DE TDFCluster writes writesvalues valuesasas bitvector double/bitvector... analog simulator reads Voltage C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

16 Interface accurate models Interface accurate models used for verification of system integration All ports accurately as in implementation Enable and clock signals Modeling issue Clock signals or events determine activation of TDF cluster Adapter classes can translate between different MoC and protocols E.g. from TDF via DE to TLM to protocol Open issue EFFICIENT coupling between TDF and TLM C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

17 Design refinement of E-AMS systems 1. SystemC AMS extensions 2. Design refinement of E-AMS 3. Conclusion & Outlook C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

18 Conclusion SystemC AMS extensions provide appropriate means for modeling E-AMS at architecture level Standardization ongoing Converter channels and adapter classes complement TLM transactors ongoing work bdreams Design refinement modeling strategy integrates architecture properties successively into executable spec Quickly available first models => Early feedback on feasibility or potential issues Immediate analysis/verification after changing/adding property => Optimization / debugging more efficient C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

19 Outlook First (simple) examples seem to work but industrial application needs additional effort! C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

20 References (OSCI members) ams.org (For information from former SystemC-AMS SG, provides some information for the public) Ch. Grimm, M. Barnasconi, A. Vachoux, K. Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions. OSCI, June 2008 Ch. Grimm: Modeling and Refinement of Mixed Signal Systems with SystemC. In: SystemC: Methodologies and Applications. Kluwer Academic Publisher (KAP), June C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven

Modeling embedded systems using SystemC extensions Open SystemC Initiative

Modeling embedded systems using SystemC extensions Open SystemC Initiative 20 Modeling embedded systems using SystemC extensions Open SystemC Initiative The Open SystemC Initiative (OSCI) is an independent, not-for-profit association composed of a broad range of organizations

More information

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard Sneak Preview of the Upcoming SystemC AMS 2.0 Standard Martin Barnasconi, AMSWG chairman, 18 th NASCUG, DAC 2012 Analog Mixed Signal applications Image courtesy of STMicroelectronics Communications Imaging

More information

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals Rüdiger Schroll *1) Wilhelm Heupke *1) Klaus Waldschmidt *1) Christoph Grimm *2) *1) Technische Informatik *2) Institut für Mikroelektronische

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS Stephan Schulz Head of Heterogeneous System Specification Fraunhofer IIS/EAS About Fraunhofer Facts and figures Fraunhofer Association Fraunhofer

More information

Analog Behavior Refinement in System Centric Modeling

Analog Behavior Refinement in System Centric Modeling Analog Behavior Refinement in System Centric Modeling Yaseen Zaidi, Christoph Grimm and Jan Haase Institute of Computer Technology Vienna University of Technology The Motivation System level analog modeling

More information

Experience the Next ~Wave~ of Analog and Digital Signal Processing using SystemC AMS 2.0 Session 1: SystemC AMS Introduction

Experience the Next ~Wave~ of Analog and Digital Signal Processing using SystemC AMS 2.0 Session 1: SystemC AMS Introduction Experience the Next ~Wave~ of Analog and Digital Signal Processing using SystemC AMS 2.0 Session 1: SystemC AMS Introduction Karsten Einwich, Fraunhofer IIS/EAS Session 1 - Outline Session 1: SystemC AMS

More information

SystemC-AMS Requirements, Design Objectives and Rationale

SystemC-AMS Requirements, Design Objectives and Rationale SystemC-AMS Requirements, Design Objectives and Rationale Alain Vachoux Christoph Grimm Karsten Einwich Swiss Fed. Inst. of Tech. University Frankfurt Frauenhofer IIS/EAS Microelectronic Systems Lab. Technische

More information

Mixed-Signal Extensions for SystemC

Mixed-Signal Extensions for SystemC Mixed-Signal Extensions for SystemC K. Einwich Ch. Grimm P. Schwarz K. Waldschmidt Fraunhofer IIS/EAS Univ. Frankfurt Zeunerstraße 38 Robert-Mayer-Straße 11-15 D-01069 Dresden, Germany D-60054 Frankfurt,

More information

Analog Mixed Signal Extensions for SystemC

Analog Mixed Signal Extensions for SystemC Analog Mixed Signal Extensions for SystemC White paper and proposal for the foundation of an OSCI Working Group (SystemC-AMS working group) Karsten Einwich Fraunhofer IIS/EAS Karsten.Einwich@eas.iis.fhg.de

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

A SIMULATION BASED METHODOLOGY FOR THE DEVELOPMENT OF EMBEDDED-ANALOGUE-MIXED-SIGNAL SYSTEMS USING SYSTEMC-AMS. Benjamin Mulwa Kathale I56/72438/2008

A SIMULATION BASED METHODOLOGY FOR THE DEVELOPMENT OF EMBEDDED-ANALOGUE-MIXED-SIGNAL SYSTEMS USING SYSTEMC-AMS. Benjamin Mulwa Kathale I56/72438/2008 A SIMULATION BASED METHODOLOGY FOR THE DEVELOPMENT OF EMBEDDED-ANALOGUE-MIXED-SIGNAL SYSTEMS USING SYSTEMC-AMS Benjamin Mulwa Kathale I56/72438/2008 A thesis submitted in partial fulfillment for the degree

More information

Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations

Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations FZI Forschungszentrum Informatik at the University of Karlsruhe Fast and Accurate Source-Level Simulation Considering Target-Specific Compiler Optimizations Oliver Bringmann 1 RESEARCH ON YOUR BEHALF Outline

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Part 2: Principles for a System-Level Design Methodology

Part 2: Principles for a System-Level Design Methodology Part 2: Principles for a System-Level Design Methodology Separation of Concerns: Function versus Architecture Platform-based Design 1 Design Effort vs. System Design Value Function Level of Abstraction

More information

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc.

The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. The SystemC Verification Standard (SCV) Stuart Swan Senior Architect Cadence Design Systems, Inc. stuart@cadence.com The Verification Problem System Level Verification is typically done last, is typically

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

Group 10 Programmable Sensor Output Simulator Progress Report #2

Group 10 Programmable Sensor Output Simulator Progress Report #2 Department of Electrical Engineering University of Victoria ELEC 499 Design Project Group 10 Programmable Sensor Output Simulator Progress Report #2 March 5, 2005 Submitted by: Group No.: 10 Team: Exfour

More information

Ilmenau Technical University Faculty of Computer Science and Automation Department of System and Control Theory

Ilmenau Technical University Faculty of Computer Science and Automation Department of System and Control Theory Ilmenau Technical University Faculty of Computer Science and Automation Department of System and Control Theory Software Performance Estimation for a Mission Level Design Flow Date: 28.09.2004 Author:

More information

Analog Behavior Refinement in System Centric Modeling

Analog Behavior Refinement in System Centric Modeling Analog Behavior Refinement in System Centric Modeling Author 1 Author 2 Author 3 Affiliation Organization Address emails ABSTRACT SoC designs consisting of analog, digital, mixed signal, RF and software

More information

A Sensor Modeling Technique Using SystemC-AMS for Fast Simulation of System-in-Package based Bio-Medical Systems

A Sensor Modeling Technique Using SystemC-AMS for Fast Simulation of System-in-Package based Bio-Medical Systems R2-11 SASIMI 2013 Proceedings A Sensor Modeling Technique Using SystemC-AMS for Fast Simulation of System-in-Package based Bio-Medical Systems Arif Ullah KHAN 1, Yoshinori TAKEUCHI 1, and Masaharu IMAI

More information

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI

SystemC Community. ISCUG May 9, 2008 Girish Nanappa, OSCI SystemC Community Update ISCUG May 9, 2008 Girish Nanappa, OSCI OSCI Membership Corporate Members Associate Members 36 member companies, including 8 new since DATE 2007: CISC, CoFluent, ITRI, UPMC, STARC,

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

Embedded Hardware and Software

Embedded Hardware and Software Embedded Hardware and Software Saved by a Common Language? Nithya A. Ruff, Director, Product Marketing 10/11/2012, Toronto Synopsys 2012 1 Synopsys Industry Leadership $1,800 $1,600 $1,400 $1,200 $1,000

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

4 th European SystemC Users Group Meeting

4 th European SystemC Users Group Meeting 4 th European SystemC Users Group Meeting http://www-ti.informatik.uni-tuebingen.de/systemc Copenhagen October 5 th, 2001, 1100-1600 SystemC 2.0 Tutorial Thorsten Grötker R & D Manager Synopsys, Inc. Motivation

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

Wall Industries SMPS and Microcontrollers

Wall Industries SMPS and Microcontrollers Wall Industries SMPS and Microcontrollers Introduction Wall Industries commitment to the latest technologies is evident in its recent announcement to add microcontrollers to their already advanced designs.

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 1 Copyright 2005 CADENCE DESIGN SYSTEMS, INC. SystemC Transaction Level Modeling What is TLM? Communication uses

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON Torsten Reich, Fraunhofer IIS/EAS IIP Analog IP with Intelligent IP from system to silicon What is Intelligent IP (on silicon level)? Intelligent IP

More information

Important Characteristics of VHDL-AMS and Modelica with Respect to Model Exchange

Important Characteristics of VHDL-AMS and Modelica with Respect to Model Exchange Important Characteristics of VHDL-AMS and Modelica with espect to Model Exchange Olaf Enge-osenblatt, Joachim Haase, Christoph Clauß Fraunhofer Institute for Integrated Circuits, Design Automation Division,

More information

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update Andy Goodrich, Cadence Design Systems Presentation Overview Accellera Overview Membership

More information

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10

System-level simulation (HW/SW co-simulation) Outline. EE290A: Design of Embedded System ASV/LL 9/10 System-level simulation (/SW co-simulation) Outline Problem statement Simulation and embedded system design functional simulation performance simulation POLIS implementation partitioning example implementation

More information

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing Embedded Tech Trends 2014 New EW architectures based on tight coupling of and CPU processing 1 Sensors to Parallel Processing to Wide-Area Networks RF Sampling behind antenna LVDS capture Processing DMA

More information

Lecture 1 Introduction to Microprocessors

Lecture 1 Introduction to Microprocessors CPE 390: Microprocessor Systems Spring 2018 Lecture 1 Introduction to Microprocessors Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

Tim Kogel. June 13, 2010

Tim Kogel. June 13, 2010 Generating Workload Models from TLM-2 2.0-based Virtual Prototypes for Efficient Architecture Performance Analysis Tim Kogel NASCUG 13 June 13, 2010 1 Outline Motivation and TLM-2.0 Virtual Prototyping

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

Rapid-Prototyping Emulation System using a SystemC Control System Environment and Reconfigurable Multimedia Hardware Development Platform

Rapid-Prototyping Emulation System using a SystemC Control System Environment and Reconfigurable Multimedia Hardware Development Platform Rapid-Prototyping Emulation System using a SystemC System Environment and Reconfigurable Multimedia Development Platform DAVE CARROLL, RICHARD GALLERY School of Informatics and Engineering, Institute of

More information

SMART / INTELLIGENT TRANSMITTERS AND ACTUATORS

SMART / INTELLIGENT TRANSMITTERS AND ACTUATORS 4 SMART / INTELLIGENT TRANSMITTERS AND ACTUATORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian Institute

More information

Die virtuelle Plattform:

Die virtuelle Plattform: Die virtuelle Plattform: Der Einsatz von Zynq fuer die Verifikation und das Debugging von konfigurierbaren Systemen Dr. Endric Schubert Missing Link Electronics Marlene-Dietrich-Straße 5 89231 Neu-Ulm

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

Concurrent Design of Embedded Control Software

Concurrent Design of Embedded Control Software Concurrent Design of Embedded Software Third International Workshop on Multi-Paradigm Modeling MPM`09, 06-10-2009 Marcel Groothuis, Jan Broenink University of Twente, The Netherlands Raymond Frijns, Jeroen

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

Lecture 1. Course Overview and The 8051 Architecture

Lecture 1. Course Overview and The 8051 Architecture Lecture 1 Course Overview and The 8051 Architecture MCUniversity Program Lectures 8051 architecture t System overview of C8051F020 8051 instruction set System clock, crossbar and GPIO Assembler directives

More information

HW/SW Design Space Exploration on the Production Cell Setup

HW/SW Design Space Exploration on the Production Cell Setup HW/SW Design Space Exploration on the Production Cell Setup Communicating Process Architectures 2009, Formal Methods Week Eindhoven University of Technology, The Netherlands, 04-11-2009 Marcel A. Groothuis,

More information

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme Mingyan Yu, Junjie Song, Fangfa Fu, Siyue Sun, and Bo Liu Abstract Fast and accurate full-system simulation

More information

Modeling Software with SystemC 3.0

Modeling Software with SystemC 3.0 Modeling Software with SystemC 3.0 Thorsten Grötker Synopsys, Inc. 6 th European SystemC Users Group Meeting Stresa, Italy, October 22, 2002 Agenda Roadmap Why Software Modeling? Today: What works and

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Fast and Unified SystemC AMS - HDL Simulation

Fast and Unified SystemC AMS - HDL Simulation Fast and Unified SystemC AMS - HDL Simulation Yaseen Zaidi, Christoph Grimm, and Jan Haase Institute of Computer Technology Vienna University of Technology Vienna, Austria {zaidi,grimm,haase}@ict.tuwien.ac.at

More information

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3.

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3. RTC Interface 89C51 Vcc P1.0 10k 10k 5 SDA DS 1307 8 VCC P1.1 6 SCL X1 1 + 3 BAT X2 2 32.768KHz - 3.6V 4 GND INTB\SQW 7 M. Krishna Kumar MAM/M7/LU17/V1/2004 1 RTC Interface contd. DS 1307 is a real time

More information

System Power Savings Using Dynamic Voltage Scaling. Scot Lester Texas Instruments

System Power Savings Using Dynamic Voltage Scaling. Scot Lester Texas Instruments System Power Savings Using Dynamic Voltage Scaling Scot Lester Texas Instruments What Is Dynamic Voltage Scaling? Dynamic voltage scaling, or DVS, is a method of reducing the average power consumption

More information

A Design Methodology for the Exploitation of High Level Communication Synthesis

A Design Methodology for the Exploitation of High Level Communication Synthesis A Design Methodology for the Exploitation of High Level Communication Synthesis Francesco Bruschi, Politecnico di Milano, Italy Massimo Bombana, CEFRIEL, Italy Abstract In this paper we analyse some methodological

More information

Computer Hardware Requirements for Real-Time Applications

Computer Hardware Requirements for Real-Time Applications Lecture (4) Computer Hardware Requirements for Real-Time Applications Prof. Kasim M. Al-Aubidy Computer Engineering Department Philadelphia University Real-Time Systems, Prof. Kasim Al-Aubidy 1 Lecture

More information

A Generic RTOS Model for Real-time Systems Simulation with SystemC

A Generic RTOS Model for Real-time Systems Simulation with SystemC A Generic RTOS Model for Real-time Systems Simulation with SystemC R. Le Moigne, O. Pasquier, J-P. Calvez Polytech, University of Nantes, France rocco.lemoigne@polytech.univ-nantes.fr Abstract The main

More information

Comtrue Inc. CT7302 EVM D C LQFP 48 Evaluation board Application Note

Comtrue Inc. CT7302 EVM D C LQFP 48 Evaluation board Application Note Overview Comtrue Inc. CT7302 EVM D C LQFP 48 The CT7302 serial chip is a high performance single chip digital audio bridge with sample rate converter. It supports the DSD I/F and DoP on S/PDIF input/output

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Obsolete. LX1800 SMBus TO ANALOG INTERFACE

Obsolete. LX1800 SMBus TO ANALOG INTERFACE LX1800 SMBus TO ANALOG INTERFACE TM Page 1 INTRODUCING TO PRODUCT The LX1800 Evaluation Board is available from for evaluating the functionality and performance of the LX1800 SMBus to Analog Interface

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!!

Challenges. Shift to Reuse Strategy Higher Level of Abstractions Software!!! Challenges Shift to Reuse Strategy Higher Level of Abstractions Software!!! 1 PERCENT OF TRANSISTORS WITHIN EMBEDDED IP (EXCLUDES MEMORY) 100 Random Logic Transistors Transistors (%) Transistors Within

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz Datasheet The SLC is a very affordable single or dual clock 7 GHz synthesizer that exhibits outstanding phase noise and jitter performance in a very

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

EE445L Fall 2016 Quiz 2A Solution Page 1

EE445L Fall 2016 Quiz 2A Solution Page 1 EE445L Fall 2016 Quiz 2A Solution Page 1 Jonathan W. Valvano First: Last: Solution November 18, 2016, 10:00-10:50am. Open book, open notes, calculator (no laptops, phones, devices with screens larger than

More information

EK307 Lab: Microcontrollers

EK307 Lab: Microcontrollers EK307 Lab: Microcontrollers Laboratory Goal: Program a microcontroller to perform a variety of digital tasks. Learning Objectives: Learn how to program and use the Atmega 323 microcontroller Suggested

More information

Course Outcome of M.E (ECE)

Course Outcome of M.E (ECE) Course Outcome of M.E (ECE) PEC108/109: EMBEDDED SYSTEMS DESIGN 1. Recognize the Embedded system and its programming, Embedded Systems on a Chip (SoC) and the use of VLSI designed circuits. 2. Identify

More information

The amount of current drawn and the temperature generated by DC motor are crucial in understanding the performance and reliability of motors.

The amount of current drawn and the temperature generated by DC motor are crucial in understanding the performance and reliability of motors. 1/1/1 Fall 1 Honore Hodary Motivation Overheating is one of the most common cause of failure in DC motors. It can lead to bearings failure (motor jam), winding isolation (short circuit), and degradation

More information

Interface-Based Design Introduction

Interface-Based Design Introduction Interface-Based Design Introduction A. Richard Newton Department of Electrical Engineering and Computer Sciences University of California at Berkeley Integrated CMOS Radio Dedicated Logic and Memory uc

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

The TLM-2.0 Standard. John Aynsley, Doulos

The TLM-2.0 Standard. John Aynsley, Doulos The TLM-2.0 Standard John Aynsley, Doulos The TLM-2.0 Standard CONTENTS Review of SystemC and TLM Review of TLM-2.0 Frequently Asked Questions What is SystemC? System-level modeling language Network of

More information

The requirements engineering process

The requirements engineering process 3 rd Stage Lecture time: 8:30-12:30 AM Instructor: Ali Kadhum AL-Quraby Lecture No. : 5 Subject: Software Engineering Class room no.: Department of computer science Process activities The four basic process

More information

Transaction-Level Modeling Definitions and Approximations. 2. Definitions of Transaction-Level Modeling

Transaction-Level Modeling Definitions and Approximations. 2. Definitions of Transaction-Level Modeling Transaction-Level Modeling Definitions and Approximations EE290A Final Report Trevor Meyerowitz May 20, 2005 1. Introduction Over the years the field of electronic design automation has enabled gigantic

More information

PAS 9737/AI-SMT ENGINEERING SPECIFICATION

PAS 9737/AI-SMT ENGINEERING SPECIFICATION Document PAS54 Spec Revision C (7/3/8) PAS 9737/AI-SMT ENGINEERING SPECIFICATION 64 CHANNEL, 6 BIT VME ANALOG INPUT CARD PCB Revision D (7/3/8) Additional copies of this manual or other Precision Analog

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information

Application Report. 1 Hardware Description. John Fahrenbruch... MSP430 Applications

Application Report. 1 Hardware Description. John Fahrenbruch... MSP430 Applications Application Report SLAA309 June 2006 Low-Power Tilt Sensor Using the MSP430F2012 John Fahrenbruch... MSP430 Applications ABSTRACT The MSP430 family of low-power microcontrollers are ideal for low-power

More information

SECTION 1 INTRODUCTION. Walt Kester

SECTION 1 INTRODUCTION. Walt Kester SECTION 1 INTRODUCTION Walt Kester This book deals with sensors and associated signal conditioning circuits. The topic is broad, but the focus of this book is to concentrate on circuit and signal processing

More information

Characterizing Your PLL-based Designs To Manage System Jitter. Agilent Technologies

Characterizing Your PLL-based Designs To Manage System Jitter. Agilent Technologies Characterizing Your PLL-based Designs To Manage System Jitter Rob Sleigh Greg D. Le Cheminant Agilent Technologies Copyright 2008 Agilent Technologies Page 1 Outline A review of digital communications

More information

Gerhard Noessing, Villach

Gerhard Noessing, Villach Gerhard Noessing, Villach AGENDA Frequency Domain simulation Matlab or SystemC-AMS? Noise simulation with SystemC-AMS Compare Time Domain with Frequency Domain Simulation Simulation Results Conclusion

More information

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board. User manual SPC570S-DISP: Discovery+ Evaluation Board Introduction This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

CEIBO FE-5111 Development System

CEIBO FE-5111 Development System CEIBO FE-5111 Development System Development System for Atmel W&M T89C5111 Microcontrollers FEATURES Emulates Atmel W&M T89C5111 4K Code Memory Real-Time Emulation and Trace Frequency up to 33MHz/5V ISP

More information

Hardware-Software Codesign. 6. System Simulation

Hardware-Software Codesign. 6. System Simulation Hardware-Software Codesign 6. System Simulation Lothar Thiele 6-1 System Design specification system simulation (this lecture) (worst-case) perf. analysis (lectures 10-11) system synthesis estimation SW-compilation

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information