Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing

Size: px
Start display at page:

Download "Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing"

Transcription

1 Embedded Tech Trends 2014 New EW architectures based on tight coupling of and CPU processing 1

2 Sensors to Parallel Processing to Wide-Area Networks RF Sampling behind antenna LVDS capture Processing DMA transfers CPU Runs High speed protocol stacks for wide-area network connectivity Low Phase Noise Clocking Multi-Channel Synchronization Low Power Parallel Processing Very high-speed Transceivers High-end processors Wide-band on the backplane Multi-Root Complex Communication This document is the property of Interface Concept and may not be reproduced without permission 2

3 Parallel Processing and Power consumption Configurable logic devices A study financed by the National Science Foundation (Alan George, Herman Lam, and Greg Stitt - IEEE magazine Computing in Science and Engineering - Jan/Feb 2011) Fixed logic devices This document is the property of Interface Concept and may not be reproduced without permission 3

4 RX-TX techniques for Transceivers GTX Tranceiver 10 Gb/s Eye Diagram without (left) and with 2 db post tap de-empahsis (right) Peak to peak ISI jitter reduced by more than two High-pass filter with auto-adaptation Xilinx Decision Feedback Equalizer (DFE) This document is the property of Interface Concept and may not be reproduced without permission 4

5 RF Sampling ADC & VITA 57 ADC Benefits of RF-Sampling A single direct RF-sampling ADC can replace an entire IF-sampling or ZIF-sampling subsystem of mixers, LO synthesizers, amplifiers, filters, and ADCs Reduction of bill of materials (BOM) cost, design time, board size, weight, and power. Analog frequency down-conversion function moved into the DSP,, or ASIC, where frequencies and bandwidths can be controlled digitally, enabling maximum system flexibility and re-configurability Example 4 channels at 1300 Msps 12 bit (1300 Mhz on the LVDS to Virtex-7) Example of RF Sampling ADC This document is the property of Interface Concept and may not be reproduced without permission 5

6 Example of tightly coupled EW architecture IC-FEP-VPX6b IC-INT-VPX6a IC-FEP-VPX6b ADC/ DAC LVDS + GTH ADC/ DAC DUAL QSFP+ ADC/ DAC ADC/ DAC LVDS + GTH LVDS LVDS + GTH LVDS + GTH QDRII+ 21GB/s DDR3 Virtex-7 G T H x 1 2 GB/s Virtex-7 21GB/s DDR3 QDRII+ LVDS Intel Core I7 Kintex-7 Intel Core I7 QDRII+ 21GB/s DDR3 Virtex-7 G T H x 1 2 GB/s Virtex-7 21GB/s DDR3 QDRII+ GTH Switch x16 GTH Switch x16 Switch GTH Switch x16 GTH Backplane Backplane Backplane This document is the property of Interface Concept and may not be reproduced without permission 6

7 Multiware Definitions & Principles A domain is made of one Root Complex(RC), Transparent Bridges or switches (TB)and EndPoint (EP) A domain must not contain several RCs domains are interconnected through Non-Transparent Bridge NTB mechanisms for RC communication : Message boxes and Doorbells Use of MSI to generate interrupts on RC Data transfres from/to memory area (CPU DDR, Graphic DDR, DDR) Each RC configures its NTB access to the other domain Multicast : transfer same data from a memory area to several memory areas This document is the property of Interface Concept and may not be reproduced without permission 7

8 Multiware Overview Software package : Configure and manage NTB hardware devices and provide simplified API for user designs Independent of the CPU architecture (PowerPC 32bits or 64bits Intel 32bits or 64bits) OS: Linux, VxWorks Memcpy: CPU transfers data through the NTB Platform DMA: Based on DMA OS API (Freescale SOC DMA, IOTA DMA ) NTB DMA: DMA in the switch Shared Memory Data Transfer Frame pool data transfers RC communication: Message transfers, events notifications, synchronization Information about local/remote RC device translation This document is the property of Interface Concept and may not be reproduced without permission 8

SOFTWARE DEFINED RADIO

SOFTWARE DEFINED RADIO SOFTWARE DEFINED RADIO USR SDR WORKSHOP, SEPTEMBER 2017 PROF. MARCELO SEGURA SESSION 1: SDR PLATFORMS 1 PARAMETER TO BE CONSIDER 2 Bandwidth: bigger band better analysis possibilities. Spurious free BW:

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

New Initiatives and Technologies Brighten Embedded Software Radio

New Initiatives and Technologies Brighten Embedded Software Radio New Initiatives and Technologies Brighten Embedded Software Radio Embedded Tech Trends January 2018 Rodger Hosking Pentek, Inc. Sensor Open System Architecture (SOSA) Consortium of Air Force, Navy, Army,

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

SBC-COMe FEATURES DESCRIPTION APPLICATIONS SOFTWARE. EnTegra Ltd Tel: 44(0) Web:

SBC-COMe FEATURES DESCRIPTION APPLICATIONS SOFTWARE. EnTegra Ltd Tel: 44(0) Web: A Windows /Linux Embedded Single Board Computer with XMC IO Site FEATURES Combines an industry standard COM CPU module with an XMC IO module in a compact, stand alone design Scalable CPU performance from

More information

Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET

Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET RoHS QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq Perseus 601X Mid-size AMC for μtca and AdvancedTCA platforms Choice of powerful LXT and SXT

More information

Nutaq μdigitizer FPGA-based, multichannel, high-speed DAQ solutions PRODUCT SHEET

Nutaq μdigitizer FPGA-based, multichannel, high-speed DAQ solutions PRODUCT SHEET Nutaq μdigitizer FPGA-based, multichannel, high-speed DAQ solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq μdigitizer Supports a wide variety of high-speed I/O A/D and D/A converters

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

TitanMIMO-X. 250 MHz OTA Real-Time BW Massive MIMO Testbed PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

TitanMIMO-X. 250 MHz OTA Real-Time BW Massive MIMO Testbed PRODUCT SHEET. nutaq.com MONTREAL QUEBEC TitanMIMO-X 250 MHz OTA Real-Time BW Massive MIMO Testbed PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com TitanMIMO-X 5G, Millimeter Wave and Massive MIMO research without the shortage in real-time

More information

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications System Essentials: Rugged Software Radio Industry Standard Open Architectures

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

AD GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA. Data Sheet

AD GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA. Data Sheet Data Sheet 3GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA Applications Electronic Warfare (EW) Spectral Analysis RADAR Features 3GSPS, 8-bit ADC Xilinx Virtex-5 SX95T FPGA (user programmable) Dual

More information

Cognitive Radio Platform Research at WINLAB

Cognitive Radio Platform Research at WINLAB Cognitive Radio Platform Research at WINLAB December 2, 2010 Zoran Miljanic and Ivan Seskar WINLAB Rutgers University www.winlab.rutgers.edu 1 WiNC2R objectives Programmable processing of phy and higher

More information

The Many Dimensions of SDR Hardware

The Many Dimensions of SDR Hardware The Many Dimensions of SDR Hardware Plotting a Course for the Hardware Behind the Software Sept 2017 John Orlando Epiq Solutions LO RFIC Epiq Solutions in a Nutshell Schaumburg, IL EST 2009 N. Virginia

More information

QuiXilica V5 Architecture

QuiXilica V5 Architecture QuiXilica V5 Architecture: The High Performance Sensor I/O Processing Solution for the Latest Generation and Beyond Andrew Reddig President, CTO TEK Microsystems, Inc. Military sensor data processing applications

More information

Gemini-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Gemini-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Gemini-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features One 12-bit ADC channels at 3.6 GSPS, or three channels

More information

FPGA Solutions: Modular Architecture for Peak Performance

FPGA Solutions: Modular Architecture for Peak Performance FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO andyr@tekmicro.com Agenda Company Overview FPGA

More information

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team COSMOS Architecture and Key Technologies June 1 st, 2018 COSMOS Team COSMOS: System Architecture (2) System design based on three levels of SDR radio node (S,M,L) with M,L connected via fiber to optical

More information

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Interconnection Network for Tightly Coupled Accelerators Architecture

Interconnection Network for Tightly Coupled Accelerators Architecture Interconnection Network for Tightly Coupled Accelerators Architecture Toshihiro Hanawa, Yuetsu Kodama, Taisuke Boku, Mitsuhisa Sato Center for Computational Sciences University of Tsukuba, Japan 1 What

More information

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer Product Information Sheet PX14400 2 Channel, 14-Bit Waveform Digitizer FEATURES 2 Analog Channels at up to 400 MHz Sample Rate per Channel 14 Bits of Resolution Bandwidth from 100 KHz to 400 MHz 1 Gigabyte

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Calypso-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features Two 12-bit ADCs at 3.6 GSPS Also supports 6 channels

More information

Maximising Serial Bandwidth And Signal Integrity In FPGAs

Maximising Serial Bandwidth And Signal Integrity In FPGAs Maximising Serial Bandwidth And Signal Integrity In FPGAs Francesco Contu High Speed IO specialist, EMEA South francescocontu@xilinxcom Agilent High Speed Digital Design & Validation Seminars 2012 Agenda

More information

Modular, Scalable Computing for Systems with Tight SWaP Constraints

Modular, Scalable Computing for Systems with Tight SWaP Constraints Modular, Scalable Computing for Systems with Tight SWaP Constraints Prepared by Colorado Engineering, Inc. for HPEC 2011 September 21, 2011 SBIR DATA RIGHTS Contractor Name: Colorado Engineering Inc. (CEI)

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet Data Sheet FPE320 Virtex -5 3U VPX Processor with Site Applications Electronic Warfare & Signal Intelligence (SIGINT) Electronic Counter Measures UAV Sensor Acquisition Semiconductor Inspection Seismic

More information

Wireless Infrastructure Solutions to Enhance the Digital Media Experience

Wireless Infrastructure Solutions to Enhance the Digital Media Experience WIRELESS INFRASTRUCTURE SOLUTIONS Wireless Infrastructure Solutions to Enhance the Digital Media Experience IDT ADDRESSES NEXT GENERATION WIRELESS BY ACCELERATING THE DATA PATH AND OFFLOADING FUNDAMENTAL

More information

1. IEEE and ZigBee working model.

1. IEEE and ZigBee working model. ZigBee SoCs provide cost-effective solutions Integrating a radio transceiver, data processing unit, memory and user-application features on one chip combines high performance with low cost Khanh Tuan Le,

More information

Aerospace & Defense Application Areas and Case Studies

Aerospace & Defense Application Areas and Case Studies Aerospace & Defense Application Areas and Case Studies AVICHAL KULSHRESTHA Technical Marketing Engineer National Instruments Graphical System Design A platform-based approach for measurement and control

More information

SMT9091 SMT148-FX-SMT351T/SMT391

SMT9091 SMT148-FX-SMT351T/SMT391 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: This Document provides an overview of the developed system key features. SMT148-FX-SMT351T/SMT391 E.Puillet

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary

Xilinx 7 Series FPGA Power Benchmark Design Summary Xilinx 7 Series FPGA Power Benchmark Design Summary June 1 Copyright 1 1 Xilinx Xilinx Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite

More information

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C DIGITAL SYSTEM Technology Overview Rev C 01-05-2016 Insert Full Frame Product Picture Here 2015 KEY FEATURES DIGITAL PROCESSING SYSTEM FOR INDUSTRIAL & TONNE UE SYSTEM DIGITAL PROCESSING SYSTEM FOR MICRO

More information

Basic Components of Digital Computer

Basic Components of Digital Computer Digital Integrated Circuits & Microcontrollers Sl. Mihnea UDREA, mihnea@comm.pub.ro Conf. Mihai i STANCIU, ms@elcom.pub.ro 1 Basic Components of Digital Computer CPU (Central Processing Unit) Control and

More information

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Data Sheet CHAMP-FX2 FPGA Accelerator Signal Processing Platform Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Two user-programmable Xilinx Virtex -5 FPGA nodes (LX110T or LX220T)

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz Datasheet The SLC is a very affordable single or dual clock 7 GHz synthesizer that exhibits outstanding phase noise and jitter performance in a very

More information

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet Data Sheet 3CPF1 3U PowerPC/Xilinx Virtex-II Pro Processing Engine Applications The 3CPF1 is designed to solve the most demanding scalable processing requirements in embedded systems, in particular for

More information

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet Data Sheet HPE720 Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card Applications Signal Intelligence (SIGINT) Image Processing Electronic Warfare (EW) Radar Processing Features FPGA and Power Architecture

More information

RDMA in Embedded Fabrics

RDMA in Embedded Fabrics RDMA in Embedded Fabrics Ken Cain, kcain@mc.com Mercury Computer Systems 06 April 2011 www.openfabrics.org 2011 Mercury Computer Systems, Inc. www.mc.com Uncontrolled for Export Purposes 1 Outline Embedded

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Models 72664, Model 74664 Model 73664 General Information Models 72664, are members of the Cobalt family of high-performance CompactPCI s based on the Xilinx Virtex-6 FPGA. They

More information

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications

XMC-SDR-A. XMC Zynq MPSoC + Dual ADRV9009 module. Preliminary Information Subject To Change. Overview. Key Features. Typical Applications Preliminary Information Subject To Change XMC-SDR-A XMC Zynq MPSoC + Dual ADRV9009 module Overview PanaTeQ s XMC-SDR-A is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 1 Topics Xilinx RFSoC Overview Impact of Latency on Applications

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Preliminary Design of a Real-Time Hardware Architecture for erhic

Preliminary Design of a Real-Time Hardware Architecture for erhic Preliminary Design of a Real-Time Hardware Architecture for erhic Rob Michnoff Brookhaven National Laboratory, Upton, NY ICALEPCS 2015 October 22, 2015 Outline Overview of erhic project -Accelerator (C-A)

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

PCI-16HSDI: 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks

PCI-16HSDI: 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks PMC-16HSDI 16-Bit, Six-Channel Sigma-Delta Analog Input PMC Board With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks Available also in PCI, cpci and PC104-Plus form factors as: PCI-16HSDI:

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications XMC-ZU1 XMC Module Xilinx Zynq UltraScale+ MPSoC Overview PanaTeQ s XMC-ZU1 is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq UltraScale+ integrates a Quad-core

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 3320 Features Sold as the: FlexorSet Model 5973-320 FlexorSet Model 7070-320 Supports Xilinx Virtex-7 VXT s GateXpress supports dynamic reconfiguration across Two 3.0 GHz*

More information

TEST REPORT POWER SUPPLY AND THERMAL V2

TEST REPORT POWER SUPPLY AND THERMAL V2 CERN European Organization for Nuclear Research Beams Department Radio Frequency RF Feedbacks and Beam Control TEST REPORT POWER SUPPLY AND THERMAL V2 By: Petri Leinonen BE-RF-FB Date: 27.06.2012 TABLE

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest Designing with the Xilinx 7 Series PCIe Embedded Block Follow @avnetxfest Tweet this event: #avtxfest www.facebook.com/xfest2012 Why Would This Presentation Matter to You? 2 If you are designing a PCIe

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

FMC-QSFP+ FEATURES APPLICATIONS SOFTWARE. FMC Module with Dual QSFP+ Ports DESCRIPTION

FMC-QSFP+ FEATURES APPLICATIONS SOFTWARE. FMC Module with Dual QSFP+ Ports DESCRIPTION V1.1 2/12/17 FMC Module with Dual QSFP+ Ports FEATURES Two QSFP+ ports Up to 40 Gbps per port (IEEE 802.3ba) 2wire I2C communication interface and other lowspeed electrical interface compliant to SFF 8436

More information

Reconfigurable Advanced Rapid-prototyping Environment (RARE):

Reconfigurable Advanced Rapid-prototyping Environment (RARE): Reconfigurable Advanced Rapid-prototyping Environment (RARE): A Computing Technology for Challenging Form Factors SBIR DATA RIGHTS Prepared by Michael J. Bonato Colorado Engineering, Inc. for HPEC 2012

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

AMC517 Kintex-7 FPGA Carrier for FMC, AMC

AMC517 Kintex-7 FPGA Carrier for FMC, AMC AMC Kintex-7 FPGA Carrier KEY FEATURES AMC FPGA carrier for FMC per VITA-57 Xilinx Kintex-7 410T FPGA in FFG-900 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics Introduction Recently, the laser printer market has started to move away from custom OEM-designed 1 formatter

More information

Programable Radio Unit Accelerating SDR System Application

Programable Radio Unit Accelerating SDR System Application Programable Radio Unit Accelerating SDR System Application Company Profile V3 Technology Co., Ltd., established in 2008, focuses on providing innovative Scientific Research and Education solutions. It

More information

VPX754. Intel Xeon SoC, 3U VPX, PCIe Gen3. Key Features. Benefits VPX754

VPX754. Intel Xeon SoC, 3U VPX, PCIe Gen3. Key Features. Benefits VPX754 VPX754 Intel Xeon SoC, 3U VPX, PCIe Gen3 Key Features 3U VPX module Intel 5th Generation Xeon D-1577, D- 1548 or D-1520 (Broadwell) System-on-Chip (SoC) PCIe Gen3 dual x4 or single x8 Front-panel video

More information

CPCI-16HSDI. 16-Bit, Six-Channel Sigma-Delta Analog Input Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks.

CPCI-16HSDI. 16-Bit, Six-Channel Sigma-Delta Analog Input Board. With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks. 02/01/01 CPCI-16HSDI 16-Bit, Six-Channel Sigma-Delta Analog Input Board With 1.1 MSPS Sample Rate per Channel, and Two Independent Clocks Features Include: Sigma-Delta Conversion; No External Antialiasing

More information

The VITA Radio Transport as a Framework for Software Definable Radio Architectures

The VITA Radio Transport as a Framework for Software Definable Radio Architectures The VITA Radio Transport as a Framework for Software Definable Radio Architectures Robert Normoyle (DRS Signal Solutions, Gaithersburg, Md; Robert.Normoyle@DRS-SS.com); and Paul Mesibov (Pentek, Inc. Upper

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

CEC 450 Real-Time Systems

CEC 450 Real-Time Systems CEC 450 Real-Time Systems Lecture 9 Device Interfaces October 20, 2015 Sam Siewert This Week Exam 1 86.4 Ave, 4.93 Std Dev, 91 High Solutions Posted on Canvas Questions? Monday Went Over in Class Assignment

More information

New CC430 combines leading MCU and RF technology

New CC430 combines leading MCU and RF technology New CC430 combines leading MCU and RF technology Brings personal and industrial wireless networking to the mass market Kevin Belnap MSP430 Marketing Mark Grazier Low Power RF Marketing Embargo date: November

More information

From Complicated to Simple with Single-Chip Silicon Clock Generation

From Complicated to Simple with Single-Chip Silicon Clock Generation WHITE PAPER From Complicated to Simple with Single-Chip Silicon Clock Generation Tyler Bailey and Jamileh Davoudi Applications Engineer, Zarlink Semiconductor As published by EEtimes Asia, April 2007 1

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-usedpre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

PCI Express Agent_SW. VME64X Agent_SW. NoC M/S BACK-END. Port_a. Port_k. NoC M/S BACK-END. USER Agent_SW. IO Specific. Overview

PCI Express Agent_SW. VME64X Agent_SW. NoC M/S BACK-END. Port_a. Port_k. NoC M/S BACK-END. USER Agent_SW. IO Specific. Overview TOSCA Multi-platform FPGA Design Kit Data Sheet TOSCA_FDK_DS_092001_10 Features FPGA Design Kit for high-end applications development Hardware platform independent Network on Chip (NoC) solution VHDL source

More information

Analog & Digital I/O

Analog & Digital I/O Analog & Digital I/O ANALOG & DIGITAL I/O MODEL DESCRIPTION Cobalt 730 1 GHz and D/A, Virtex-6 - XMC Cobalt 78630 1 GHz and D/A, Virtex-6 - x8 Cobalt 53630 1 GHz and D/A, Virtex-6-3U VPX - Format 1 Cobalt

More information

Leveraging HyperTransport for a custom high-performance cluster network

Leveraging HyperTransport for a custom high-performance cluster network Leveraging HyperTransport for a custom high-performance cluster network Mondrian Nüssle HTCE Symposium 2009 11.02.2009 Outline Background & Motivation Architecture Hardware Implementation Host Interface

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

High Performance Reconfigurable Software Defined Radio Platform IMECAS

High Performance Reconfigurable Software Defined Radio Platform IMECAS High Performance Reconfigurable Software Defined Radio Platform IMECAS Contents 1. Introduction 2. SDR01 platform 3. SDR02 platform 4. SDR platform with OpenAirInterface (OAI) 5. Conclusion Contents 1.

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC

Nutaq. PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET I MONTREAL I NEW YORK I. nutaq. .com QUEBEC Nutaq PicoSDR FPGA-based, MIMO-Enabled, tunable RF SDR solutions PRODUCT SHEET QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq PicoSDR Includes Nutaq OFDM Reference Design Up to 4 independent TRX, synchronized

More information

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors Patrick Keliher, Simics Field Application Engineer Software Development Using Full System Simulation with Freescale QorIQ Communications Processors 1 2013 Wind River. All Rights Reserved. Agenda Introduction

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

Advanced RF and DSP Solutions

Advanced RF and DSP Solutions WE INNOVATE. WE DELIVER. WE INNOVATE. WE DELIVER. Advanced RF and DSP Solutions At Abaco Systems, data acquisition is just the beginning. abaco.com @AbacoSys Our Capabilities The ability to capture still

More information

PCI Express: Evolution, Deployment and Challenges

PCI Express: Evolution, Deployment and Challenges PCI Express: Evolution, Deployment and Challenges Nick Ma 马明辉 Field Applications Engineer, PLX Freescale Technology Forum, Beijing Track: Enabling Technologies Freescale Technology Forum, Beijing - November

More information

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013 OP5600 & OP7000 High performance Real-Time simulators Yahia Bouzid 25 th June2013 Contents Model-based design concept Applications Rapid Control Prototyping Hardware in-the-loop OPAL-RT Real-Time simulators

More information

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE 1 Thirunavukkarasu.T, 2 Kirthika.N 1 PG Student: Department of ECE (PG), Sri Ramakrishna Engineering College, Coimbatore, India 2 Assistant Professor,

More information