ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

Size: px
Start display at page:

Download "ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM"

Transcription

1 DATASHEET ALLEGRO PCB SI 630 VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS Cadence Allegro PCB SI 630 a key PCB Signal Integrity tool within the Allegro system interconnect design platform provides a virtual prototyping environment for designs with signals operating in the Multi-GigaHertz (MGH) frequency range. It is a completely integrated MGH signal design and analysis solution built on top of the proven and familiar Allegro PCB SI environment (formerly Cadence SPECCTRAQuest). Allegro PCB SI 630 introduces leading-edge technology to shorten design cycle time and eliminate the need to do multiple lab qualifications with full functional physical prototypes. Package design-in kit Interconnect models I/O buffer IP IC package and SiP design I/O buffer design Implement Design Eplore Verify Virtual system interconnect model Specify The Allegro system interconnect design platform PCB design Build Correlate IC design Silicon design-in kit On-target, on-time system interconnect THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM The Cadence Allegro system interconnect design platform enables collaborative design of high-performance interconnect across IC, package, and PCB domains. The platform s unique co-design methodology optimizes system interconnect between I/O buffers and across ICs, packages, and PCBs to eliminate hardware re-spins, decrease costs, and reduce design cycles. The Allegro constraint-driven flow offers advanced capabilities for design capture, signal integrity, and physical implementation. With silicon design-in kits, IC companies shorten new device adoption time and systems companies accelerate PCB design cycles for rapid time to profit. Supported by the Cadence Encounter and Virtuoso platforms, the Allegro co-design methodology ensures effective design chain collaboration.

2 ALLEGRO PCB SI 630 The need to provide ultra high bandwidth for data transfer coupled with pressures to get products to market faster has added many challenges for system designers. While technological advances such as differential signals with embedded clocks (serial links), drivers with pre-emphasis, and receivers with equalization allow engineers to architect systems that have higher performance and throughput, the tools to design such systems have not kept pace. This situation has forced engineers to use disparate standalone tools to design systems with high-speed signals, particularly those that operate in MGH range. For differential signals used in serial link designs, system designers must ensure that timing and voltage margins are met (also known as achieving acceptable eye opening ). Traditional circuit simulators are limited to about 1024 bits of custom stimulus pattern length. This means that the effect of Inter Symbol Interference (ISI) is not adequately modeled by traditional simulation solutions. To accurately predict the eye opening, you need tools that can simulate stimulus patterns of over 1 million bits. Signals operating in MGH range require a new generation of design tools to manage challenges introduced in the design process. You need a set of tools that model each element of the signal s path quickly and accurately. At high frequencies, the losses on a signal mount as the signal travels through different discontinuities such as vias, connectors and different layers in one or more printed circuit boards. At GigaHertz frequencies, the loss in a transmission line can approimately be db/inch, creating challenges for longer interconnects on PCB systems. Bandwidth/Pin (MB/s/pins) PCI 1.58 PCI-X 7.09 AGP4X 9.85 Ensuring that losses in critical signals are acceptable is an important step in the design of MGH signals. To accomplish this, you need a way to do loss budget trade-offs using S-Parameters quickly and iteratively. You also need a way to change the MGH signals topology and within seconds be able to view the epected loss through the system interconnect. Engineers need a way to perform loss budget trade-offs using S-Parameters, while achieving faster simulation of comple devices. MGH design further requires technology for simulating tens of thousands of bits quickly, and determining optimal configuration ( tap settings ) of comple drivers/ receivers. Engineers need a system that addresses their major design challenges in an integrated environment that is simple to use, and has productivity capabilities built in. Allegro PCB SI 630 offers engineers an easy-to-use and highly integrated virtual prototyping environment to meet today s MGH design challenges. HL X Price/Performance BENEFITS HL PCI Epress 100 Serial data increases the throughput per pin, reducing the real estate required on printed circuit boards Complete integrated S-Parameter support Perform multiple loss budget trade-offs using S-Parameter quickly and easily Simulate S-Parameter in time domain Simulate 10,000 bits in seconds, millions of bits in hours with unprecedented simulation capacity and performance Model comple drivers with preemphasis and receivers with equalization using proven macro modeling capability to perform accurate simulations 20 to 400X faster than transistor level simulations Perform accurate simulation of highspeed signals using a SPICE-based engine Proven Allegro PCB SI technology and PCB analysis environment 2

3 KEY FEATURES Complete, fully integrated S-Parameter support allows you to: Generate S-Parameters from PCB signal topologies ( Stack-up to S ) Plot S-Parameters for any number of elements in the topology in SigWave quickly and easily Concatenate multiple S-Parameters into one Incorporate S-Parameters for an object into the topology, then generate S-Parameter for the entire topology Change topology or stack-up and do quick iterative loss budget trade-offs Correlate S-Parameters for different sources (e.g., generated vs. measured) Simulate S-Parameters in time domain Any portion of the passive interconnect can be plotted as S-Parameter in SigWave topology eplorer Unprecedented capabilities for high-capacity and high-performance simulation allow you to: Simulate tens of thousands of bits in seconds (or millions in an hour) using high-capacity simulation Optimize MGH I/O buffer configurations tap settings through channel analysis Fleible S-Parameter support allows you to: Incorporate measurement-based S-Parameter models in native Touchstone format Incorporate measured or imported S-Parameters with other interconnect topologies Proven Macro Modeling capabilities allow you to: Model and simulate MGH drivers and receivers faster and more accurately Achieve simulation performance improvement of 20 to 400X over transistor-level simulation Create new comple models quickly using available templates Eye shrinks with number of bits in stimulus pattern. Good eye diagram is important for accurate jitter, insertion loss, and BER prediction 3

4 Via model generator allows you to: Create accurate via models quickly (wide band, narrow band, S-Parameter) to simulate via stub effects at MGH frequencies for single vias, differential vias, and vias coupled with Ground/ Power vias Specify start frequency, end frequency, and number of frequency points Reuse eisting board layout for stackup/padstack information or point to a technology file with that information T De-emphasis Multi-tap High-pass filter DSP techniques R Supported I/O buffer model formats include: Cadence Allegro PCB SI Device Modeling Language (DML) Synopsys HSPICE transistor-level models (requires HSPICE simulator and license, which is NOT included with Allegro PCB SI 630) Cadence Spectre transistor-level models (available on Sun Solaris, HP UX, and Linu RHEL 3.0 platforms only). This utilizes an integrated and limited capability version of the Spectre simulator, which is included with Allegro PCB SI 630. IBIS 4.0 Mentor/Quad XTK Users need proven advanced macro modeling capabilities for devices with pre-emphasis or receiver equalization without sacrificing simulation performance. Complete library management through Model Integrity allows you to: Read and write touchstone format S-Parameters Check passivity of S-Parameters Plot S-Parameters with simply a click of a button Convert comple numbers with simply a click of a button Create and edit IBIS or Cadence DML models in an easy to use Model Integrity environment Convert HSPICE models to IBIS easily Via model generator allows users to model stub effects at MGH frequencies during pre-route eploration and analysis phase of the design process 4

5 Proven Allegro PCB SI technology using SPICE-based simulation engine provides: Integrated solution space eploration through SigXplorer topology editor and simulation cockpit Model frequency-dependent losses and skin effect accurately for MGH signals with integrated field solver Constraint-driven PCB design flow (with the same Allegro Constraint Manager used throughout the Allegro PCB design solution) Quick trial implementation using tightly integrated Allegro PCB Router 610 (formerly known as SPECCTRA Epert Autorouter) Integration and interfaces Reads and writes Allegro PCB database (.brd files) Provides interface to Mentor BoardStation layout database ALLEGRO PCB SI 630 COMPONENTS Allegro PCB SI 630 includes the following modules: SIGXPLORER TOPOLOGY EXPLORATION ENVIRONMENT You can use SigXplorer for pre-route topology design and analysis even before a schematic is created. This type of analysis is common at the earliest stages of the design cycle, when designers assess the impact of using a new device technology or increasing bus transfer rate. You can use SigXplorer to build and validate detailed electrical topology models and prove the viability of a new technology before the detailed design process begins. Key features of SigXplorer include A graphical environment for eploring, analyzing, and defining interconnect strategies that provides an electrical view of the physical interconnect Advanced capabilities for generating S-Parameters for loss budget trade-offs from interconnect topologies in SigXplorer (Plotting of S-Parameter is done through SigWave) Model Integrity provides complete support for S-Parameters from passivity checks to right mouse click plotting to conversions of comple numbers in an easy-to-use environment A via model generator for MGH signals Solution space eploration that allows you to develop an optimum set of constraints to ensure reliable performance under a wide range of operating conditions. SigXplorer provides a graphical environment to perform what if analysis and determines the effects of different routing strategies, component values, and design tolerances. Swept-parameter analysis is used to model the behavior of the circuit under a variety of conditions, and is used to develop optimum design constraints for the final design. For eample, you can sweep design parameters such as differential impedance and delay/length. Once design parameters are chosen, you can then eplore physical implementation parameters such as trace width, gap, and maimum uncoupled length for differential signals. This capability allows users to trade-off electrical performance with reliability as well as the cost of manufacturing the final PCB system. The results of the swept-parameter analysis are displayed in a spreadsheet format within the SigXplorer window. You can select any of the different simulation cases and view the associated simulation waveforms. The spreadsheet data can be sorted within the SigXplorer interface, or eported in a standard tab-delimited format for use with other spreadsheet and data post-processing programs. Built-in timing measurements and a graphical user interface allow you to develop custom measurements associated with the topology that you are simulating or eploring. These custom timing measurements give you the capability to use basic arithmetic functions such as addition, subtraction, multiplication, and division. These custom measurements can be developed during pre-layout eploration and embedded within the ECSet for use during the post-layout verification stage. Capacity to capture design constraints as an electrical constraint set (ECSet) that will be saved in the PCB database and used to drive the physical design process. ECSets can be thought of as a constraint template that is applied to a group of nets. ECSets can also include routing strategy for a signal or a group of signals. Once assigned to a group of nets within a layout design, the ECSet stays with the design and continues to guide interactive and automatic routing. 5

6 LEADING-EDGE HIGH CAPACITY, HIGH PERFORMANCE SIMULATION The Channel Analysis plug-in module within Allegro PCB SI 630 addresses users need for high capacity simulation to ensure timing and voltage margins are met for MGH signals. The Channel Analysis module allows users to simulate up to 10 million bits fast. It takes seconds to simulate 10,000 bits, an hour to simulate a million bits on a typical PC/Windows platform. In addition, the Channel Analysis module allows users to develop meaningful configurations ( tap settings ) for a comple driver or receiver quickly. Users get a recommendation for a specific topology in seconds saving weeks of simulation time to determine the optimal settings. PROVEN SPICE-BASED SIMULATION SUBSYSTEM Allegro PCB SI simulation environment for signal integrity, crosstalk, and EMI analysis includes the Tlsim simulation engine, the SigWave waveform display, the DML modeling language, translators from other modeling formats, and a library model editing/management subsystem. The Tlsim engine is a SPICE-based simulator that combines the advantages of traditional SPICE-based structural modeling with the speed of behavioral analysis. Tlsim includes an IBIS-style behavioral driver element that models I/O behavior based on the V-I and V-T data provided by behavioral modeling techniques. Tlsim in PCB SI 630 also includes the capability to simulate S-Parameters in time domain. By combining both structural and behavioral modeling techniques, Tlsim enables you to accurately and efficiently model comple device behavior. Tlsim also includes a lossy, coupled, frequency-dependent transmission line model that accurately predicts the distributed behavior of PCB traces into the GHz range. An integrated electrical field solver is used to determine the electrical characteristics of routed etch. The SigWave waveform display can present simulation results in multiple formats, including S-Parameters. The oscilloscope mode allows you to turn the display of individual waveforms on and off, provides markers for use in making on-screen measurements, and lets you add notes to the display. The logic-analyzer mode presents waveforms alongside each other, so that logic behavior and bus transactions are easier to observe. The spectrum analyzer mode displays signal behavior in the frequency domain using one of several different FFT techniques. The eye-diagram mode is useful for viewing patterns in long simulation sequences and enables you to interactively define the signal period and starting offset. SigWave allows you to import waveform data directly from various standard test equipment formats as well as from the output formats of popular SI analysis tools. ALLEGRO CONSTRAINT MANAGER Allegro Constraint Manager allows users to capture, manage, and validate various rules in a hierarchical fashion. It provides a real-time display of highspeed rules and their status based on the current state of design. Constraint Manager enables you to group all of the high-speed constraints for a collection of signals, and to form an electrical constraint set (ECset) that is then associated with those nets to manage their actual implementation. ECSets can be used to drive PCB layout design process, shortening the design cycle time. Constraint Manager is completely integrated with the Allegro PCB Editor design-rules checking system. As a result, you can check the different high-speed rules in real time as the design process proceeds, viewing results presented as part of the Constraint Manager spreadsheets. Any design parameters that do not meet their associated constraint values are also highlighted. Constraint Manager integrates the results of SI analysis, allowing designers to manage simulation-based electrical constraints. ALLEGRO MODEL INTEGRITY Allegro PCB SI accepts device models from a variety of different high-speed digital modeling formats. Support for the IBIS 4.0 modeling standard allows Allegro PCB SI to use models created by most semiconductor manufacturers. You can also translate models from the Mentor/Quad XTK simulator format, or create Allegro PCB SI models from SPICE device models. In addition, Allegro PCB SI provides users with a net generation modeling language for more comple devices the Cadence Device Modeling Language (DML). The model integrity module within Allegro PCB SI allows you to create, manipulate, and validate models quickly in an easy-to use editing environment. Model integrity provides a model browser and synta checker for models written in IBIS as well as for advanced models written in Cadence DML. The marker navigation functionality provides an easy way to fi synta errors. You can verify models using SigXplorer with simple test circuits. Model integrity also provides an HSPICE-to-IBIS module to assist users in creating IBIS models from HSPICE models. With the output of the HSPICE simulation run, IBIS, and buffer options file, users can quickly create IBIS models. Model integrity identifies V-I and V-T tables for typical, maimum, and minimum corner cases from the HSPICE run file. Since the number of points in an HSPICE simulation run could be far greater than the maimum number of points allowed in IBIS, the model integrity HSPICE-to-IBIS module applies an intelligent and proven best-curvefitting algorithm to provide an accurate IBIS model. A leading semiconductor company has used the algorithms contained in the HSPICE-to- IBIS model for many years. 6

7 FLOORPLANNER/EDITOR AND PCB ROUTER The Allegro PCB SI floorplanner provides a graphical view of the PCB database allowing you to view, simulate, and edit the PCB design. Designers can quickly and easily evaluate the effects of different placement strategies on design behavior. You can perform test routing using proposed electrical constraints to ensure high-speed design rules are achievable before passing them on to the PCB layout designer. The Constraint Manager allows you to view different electrical rules in the database, and it updates a net s adherence to the rules in real time as components are moved and nets are routed. By showing the electrical design rules associated with each component, a Constraint Manager timing spreadsheet helps you to optimize multiple tradeoffs associated with the placement of each component. This timing spreadsheet integrates SI analysis with component timing information, providing bus-level timing analysis directly from the PCB database. It combines component-level timing information (device output delay, setup/hold requirements) with the results of SI analysis (min/ma flight time) and system-level information (clock period and clock jitter/skew budgets) to provide system level, post layout timing analysis directly from the Allegro PCB database. EMCONTROL By applying a combination of standard rules and user-defined rules, EMControl can eliminate weeks of manual checking and improve product quality and reliability. Standard rule set EMControl provides comprehensive, knowledge based design-rules checking for common EMI-related placement and routing issues. EMControl rule checking replaces traditional errorprone manual inspection with automated analysis of the layout based on a set of best known design practices. EMControl flags potential problem areas, automatically zooming and panning the display of the PCB to highlight the area in question and then identifies the issue to the designer and recommends a solution. User-defined rules EMControl allows you to create new custom rules that fit well within your company s design guidelines. These rules allow for customization and more importantly, capture the high-speed design eperience as customized rules, which in turn can be reused on all future designs. The EMControl design rule checker also furnishes differential-mode EMI analysis in the Allegro PCB SI design environment. EMControl predicts farfield differential-mode radiated emissions in both SigXplorer and the Allegro PCB SI floorplanner. Simulation of differential emissions helps designers identify which nets are likely to cause EMI problems. It also allows you to eplore design strategies required to keep radiation within acceptable levels. Near-field EMI analysis, available within the Allegro PCB SI floorplanner, can predict radiated energy immediately above the board surface. By analyzing near-field EMI patterns, you can identify which portions of a routed trace are producing the most radiated energy and adapt the design accordingly. OPERATING SYSTEM SUPPORT Red Hat Linu 7.3, 8.0, RHEL 3.0 Windows 2000 with Service Pack 4, XP Professional Sun Solaris 8, 9 HP-UX 11.0, 11.11i IBM AIX 5.1 CADENCE SERVICES AND SUPPORT Cadence application engineers can answer your technical questions by telephone, , or Internet they can also provide technical assistance and custom training Cadence certified instructors teach over 70 courses and bring their realworld eperience into the classroom Over 25 Internet Learning Series (ils) online courses allow you the fleibility of training at your own computer via the Internet SourceLink online customer support gives you answers to your technical questions 24 hours a day, 7 days a week including the latest in quarterly software rollups, product change release information, technical documentation, solutions, software updates, and more 7

8 ALLEGRO PCB SI FEATURES Major feature summary for SI, Allegro Design Entry HDL SI 610, Allegro PCB SI 630, and Allegro PCB PI option 610 Allegro PCB Allegro Design Entry Allegro PCB Allegro PCB PI SI 610 HDL SI 610 SI 630 option 610 Allegro Design Entry HDL 610 Assign models in schematics Create Xnets in schematics Apply constraints and topologies to schematic for single-ended and differential nets Single-line topology editor (graphical canvas) Simulation setup advisor Model integrity: model development environment Model integrity: synta checking for IBIS 3.2 and DML Model integrity: HSPICE-to-IBIS conversion IBIS 4.0 models support Quad models translator Spectre transistor-level models Macro-models support (DML) Simulation control: single-line simulation Waveform Viewer Detailed simulation reports (such as flight time, overshoot, noise margin) Coupled (3 net) simulation Coupled (>3nets) simulation Single net pre-layout etraction from Allegro Design Entry HDL Allegro Physical Viewer Plus Differential pair eploration and simulation Differential pair pre- and postlayout etraction from Allegro PCB Editor Differential pair pre-layout etraction from Allegro Design Entry HDL Differential signal constraint capture Coupled line simulations Crosstalk simulation Sweep simulations Current probes Multiterminal black boes in topologies Constraint development and capture of topologies Custom measurement Custom stimulus Batch simulation EMControl: rules development EMControl: rules checking EMI differential simulation Allegro Constraint Manager Color-coded real-time feedback on violations Apply constraints and topologies to board for single-ended and differential nets Floorplanner Constraint-driven floorplanning and routing Allegro PCB Router 610 HSPICE simulator interface S-Parameter generation from stackup S-Parameter plotting in SigWave Time domain simulation of S-Parameters Library management of S-Parameters in model integrity Coupled via model generator for pre-layout eplorations High capacity simulation using Channel Analysis overlay Optimum pre-emphasis bit configurations ( tap settings ) Power integrity: design and analysis environment Power integrity: decoupling capacitor database Setup Wizard Power integrity: impedance requirements calculator Power integrity: decoupling capacitor selection and placement environment Power integrity: VRM Editor Power integrity: decoupling capacitor library editor Power integrity: cross-probing between waveform Allegro PCB SI floorplanner Power integrity: frequency domain analysis FOR MORE INFORMATION Contact Cadence sales at or visit for additional information. To locate a Cadence sales office or value-added reseller (VAR) in your area, visit Cadence Design Systems, Inc. All rights reserved. Cadence and the Cadence logo are registered trademarks of Cadence Design Systems, Inc. All others are properties of their respective holders. 5585D 06/05

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

Cadence. High-Speed PCB Layout Flow. Jean-Michel Sainson IT-PS/EAS. (Electronic Applications Support)

Cadence. High-Speed PCB Layout Flow. Jean-Michel Sainson IT-PS/EAS. (Electronic Applications Support) Cadence High-Speed PCB Layout Flow Jean-Michel Sainson IT-PS/EAS (Electronic Applications Support) 1 Cadence High-Speed PCB Layout Flow - CERN Technical Training 17 June 2003 - Training Description Objective

More information

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014 Creating Xnets for Resistor Packs in Allegro PCB Editor Product Version SPB16.6 April 2, 2014 Copyright Statement 2014 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

6 Using Constraint Manager with Other Tools Across the Allegro Platform

6 Using Constraint Manager with Other Tools Across the Allegro Platform 1 Allegro Constraint Manager User Guide 6 Using Constraint Manager with Other Tools Across the Allegro Platform Topics in this chapter include Phases in the Design Flow Design Exploration Phase (with SigXplorer)

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Welcome to Constraint Manager

Welcome to Constraint Manager 1 Allegro Constraint Manager User Guide 1 Welcome to Constraint Manager Topics in this chapter include The Allegro Constraint Manager Information Set What is Allegro Constraint Manager? Accessing Constraint

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Managing complexity for faster, more cost-effective implementations

Managing complexity for faster, more cost-effective implementations Managing complexity for faster, more cost-effective implementations Systems companies are impacted by new devices and design methodologies offered by the semiconductor industry. New devices often bring

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner HFSS Solver On Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 Problem Statement Usually SI engineers extract only the package or the pcb due to the trade offs between capacity

More information

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 ANSYS, Inc. September 14, Problem Statement Usually SI engineers extract only the package or the pcb due to the trade-offs

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Constraint Manager for xpcb Layout. Table of Contents

Constraint Manager for xpcb Layout. Table of Contents Table of Contents 2014 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

OrCAD & Allegro V Comparaison des produits PCB Designer

OrCAD & Allegro V Comparaison des produits PCB Designer & V16.6-2015 Comparaison des produits SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy Capture Market place for Apps, Models, Symbols and more

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Proposal for modeling advanced SERDES

Proposal for modeling advanced SERDES Proposal for modeling advanced SERDES IBM, Cadence June 2006 1 CADENCE DESIGN SYSTEMS, INC. Presenters, Contributors Presenters / Contributors 1. Joe Abler IBM Systems & Technology Group High Speed Serial

More information

2 Creating Xnets and Differential Pairs by Assigning Signal Models

2 Creating Xnets and Differential Pairs by Assigning Signal Models 1 Allegro Design Entry HDL - Constraint Manager User Guide Product Version 16.6 October 2012 2 Creating Xnets and Differential Pairs by Assigning Signal Models Design Entry HDL provides support for creating

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

4 ECSets and Topology Templates

4 ECSets and Topology Templates 1 Allegro Constraint Manager User Guide 4 ECSets and pology Templates pics in this chapter include "What is a pology Template?" "Importing ECSets" "Mapping Templates and ECSets to Net-related Objects"

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

HyperLynx 教程. Pre-layout (LineSim):

HyperLynx 教程. Pre-layout (LineSim): HyperLynx 教程 Pre-layout (LineSim): Signal-integrity and EMC analysis Crosstalk and differential-signal analysis Analysis for gigabit-per-second, SERDES-based designs Stackup and impedance planning Post-layout

More information

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License Licensing Floating Networked License : 12 Months Maintenance Support Included In Purchase Price SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Validating and Using IBIS Files

Validating and Using IBIS Files National Semiconductor Corp. Interface Products Group Overview The IBIS (Input/Output Buffer Information Specification) behavioral model is widely used for high- and faster, speed designs to evaluate Signal

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

FlowCAD Schweiz AG. Tel. +41 (0) Fax +41 (0) OrCAD Professional. Allegro Designer. OrCAD Standard

FlowCAD Schweiz AG. Tel. +41 (0) Fax +41 (0) OrCAD Professional. Allegro Designer. OrCAD Standard Licensing Floating Networked License 12 Months Maintenance Support Included In Purchase Price SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Altium Designer Functional Areas

Altium Designer Functional Areas Altium Designer Functional Areas Why Data Management The Idea behind Altium Designer Copyright 2013 Altium Limited Functional units of AD JK, v 2.3 2 ONE Tool for each Electronic Design Engineer What exactly

More information

LVDS applications, testing, and performance evaluation expand.

LVDS applications, testing, and performance evaluation expand. Stephen Kempainen, National Semiconductor Low Voltage Differential Signaling (LVDS), Part 2 LVDS applications, testing, and performance evaluation expand. Buses and Backplanes D Multi-drop D LVDS is a

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic LVDS Fundamentals Introduction With the recent developments in the communications market, the demand for throughput is becoming increasingly more crucial. Although older differential technologies provide

More information

Specifying the PCB Design Rules and Resolving Violations

Specifying the PCB Design Rules and Resolving Violations Specifying the PCB Design Rules and Resolving Violations Summary This article introduces the PCB Design Rules System, in particular how rules are created and applied to objects in a design. It also describes

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman

Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Lab 4: Digital Electronics BMEn 2151 Introductory Medical Device Prototyping Prof. Steven S. Saliterman Exercise 4-1: Familiarization with Lab Box Contents & Reference Books 4-1-1 CMOS Cookbook (In the

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

CADSTAR. Jeroen Leinders CADSTAR Distribution Manager. Zuken

CADSTAR. Jeroen Leinders CADSTAR Distribution Manager. Zuken CADSTAR Jeroen Leinders CADSTAR Distribution Manager 1 Introduction I m the CADSTAR distribution manager for Zuken managing approximately 30 resellers worldwide, based out of my home office in the Netherlands.

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA 2015.12.23 MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA AN-754 Subscribe Introduction to MIPI D-PHY The Mobile Industry Processor Interface (MIPI) is an industry consortium

More information

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Contents 1 Introduction 1 1.1 Introduction 1 1.2 EMI vs EMC 3 1.3 Interference sources 3 1.4 Need for standards 5 1.5 EMC

More information

Copyright 2008 Linear Technology. All rights reserved. Getting Started

Copyright 2008 Linear Technology. All rights reserved. Getting Started Copyright. All rights reserved. Getting Started Copyright. All rights reserved. Draft a Design Using the Schematic Editor 14 Start with a New Schematic New Schematic Left click on the New Schematic symbol

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

AN USB332x Transceiver Layout Guidelines

AN USB332x Transceiver Layout Guidelines AN 17.19 USB332x Transceiver Layout Guidelines 1 Introduction SMSC s USB332x comes in a 25 ball Wafer-Level Chip-Scale Package (WLCSP) lead-free RoHS compliant package; (1.95 mm X 1.95 mm, 0.4mm pitch

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Building Differentiated Products Through Shorter, More Predictable Design Cycles

Building Differentiated Products Through Shorter, More Predictable Design Cycles Building Differentiated Products Through Shorter, More Predictable Design Cycles To position themselves for growth in today s market, systems companies need to build highly differentiated products; reduce

More information

Tanner Analog Front End Flow. Student Workbook

Tanner Analog Front End Flow. Student Workbook Student Workbook 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Allegro PCB PDN Analysis User Guide

Allegro PCB PDN Analysis User Guide Product Version 16.6 October 2012 Document Last Updated On: November 20, 2012 1991 2013 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems, Free Software

More information

OrCAD for Education Program

OrCAD for Education Program OrCAD for Education Program In partnership with Cadence Design Systems (the world s largest EDA software vendor), ECADtools administers the OrCAD for Education Program, which provides universities, electronics

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Xpedition xpcb Layout Advanced. Student Workbook

Xpedition xpcb Layout Advanced. Student Workbook Xpedition Student Workbook 2015 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information