MSP430 Teaching Materials

Size: px
Start display at page:

Download "MSP430 Teaching Materials"

Transcription

1 MSP430 Teaching Materials Lecture 8 Direct Memory Access (DMA) & Communications Introduction Texas Instruments t Incorporated University of Beira Interior (PT) Pedro Dinis Gaspar, António Espírito Santo, Bruno Ribeiro, Humberto Santos University of Beira Interior, Electromechanical Engineering g Department

2 Contents (1/2) Direct Memory Access (DMA) capability DMA configuration and operation: Block diagram Features System and DMA interrupts DMA transfers DMA Registers 2

3 Contents (2/2) Communication Introduction Communications system model Transmission mode Serial communications Synchronous and asynchronous serial communications Peripheral Interface Serial (SPI) protocol I 2 C (Inter-Integrated Circuit) protocol MSP430 communications interfaces 3

4 DMA capability (1/3) The MSP430 has been designed for applications requiring low power; When the application requires data-handling, the direct memory access (DMA) capability included in some devicesisuseful: 5xxx; FG4xx(x); F261x; F16x(x) and F15x; Among these: MSP430FG4618 (Experimenter s board). DMA automatically handles data; DMA does not require CPU intervention; DMA helps reduce the power consumption (CPU remains sleeping). 4

5 DMA capability (2/3) Concept of DMA: move functionality to peripherals: Peripherals use less current than the CPU; Delegating control to peripherals allows the CPU to shut down (saves power); Intelligent peripherals are more capable, providing a better opportunity for CPU shutoff; DMA can be enabled for repetitive e data handling, increasing the throughput of peripheral modules; Minimal software requirements and CPU cycles. 5

6 DMA capability (3/3) The following TI Application Reports cover the use of the DMA controller for different applications, with the aim of lowering power consumption: Streamlining the mixed-signal path with the signal-chainon-chip MSP430F169 <slyt078.pdf> Interfacing the MSP430 with MMC/SD Flash Memory Cards <slaa281b.pdf> Digital FIR Filter Design Using the MSP430F16x <slaa228.pdf> Using the USCI I2C Master <slaa382.pdf> 6

7 DMA configuration and operation (1/9) Block diagram: 7

8 DMA configuration and operation (2/9) DMA controller features: Three independent transfer channels; Configurable (ROUNDROBIN bit) DMA channel priorities: Default: DMA0 DMA1 DMA2; DMA Transfer cycle time: Requires only two MCLK clock cycles per transfer; Each byte/word transfer requires: 2 MCLK cycles after synchronization; 1 MCKL cycle of wait time after transfer. 8

9 DMA configuration and operation (3/9) DMA controller features: Block sizes up to bytes or words; Configurable edge/level-triggered gg transfer (DMALEVEL bit). Byte or word and mixed byte/word transfer capability: Byte-to-byte; t t Word-to-word; Byte-to-word (upper byte of the destination word is cleared); Word-to-byte (lower byte of the source word is transferred). 9

10 DMA configuration and operation (4/9) DMA controller features: Four addressing modes for each DMA channel are independently configurable (DMASRCINCRx and DMADSTINCRx control bits): Fixed address to fixed address; Fixed address to block of addresses; Block of addresses to fixed address; Block of addresses to block of addresses. 10

11 DMA configuration and operation (5/9) DMA controller features: Six transfer modes (each channel is individually configurable by the DMADTx bits): DMADTx Transfer mode Description DMAEN after transfer 000 Single transfer Each transfer requires a trigger Block transfer 010, 011 Burst-block transfer , 111 Repeated single transfer Repeated block transfer Repeated burst-block transfer A complete block is transferred with one trigger CPU activity is interleaved with a block transfer Each transfer requires a trigger 1 A complete block is transferred with one trigger CPU activity is interleaved with a block transfer

12 DMA configuration and operation (6/9) System interrupts: DMA transfers are not interruptible by system interrupts, but system ISRs can be interrupted by DMA transfers; Only NMI interrupts can be given priority it over the DMA controller (ENNMI bit is set). If the ENNMI bit is not set, system interrupts remain pending until the completion of the transfer. DMA controller interrupts: Each DMA channel has its own DMAIFG flag that is set when the corresponding DMAxSZ register counts to zero (all modes); If the corresponding DMAIE and GIE bits are set, an interrupt request is generated. 12

13 DMA configuration and operation (7/9) DMA controller interrupts: The MSP430FG4618 implements the interrupt vector register DMAIV; All DMAIFG flags are prioritized iti and combined to source a single interrupt vector; DMAIV is used to determine which flag requested an interrupt. 13

14 DMA configuration and operation (8/9) DMA transfers: USCI_B I2C module with DMA: Two trigger sources for the DMA controller; Triggers a transfer when new I2C data is received and when data is required for transmit. ADC12 with DMA: Automatically moves data from any ADC12MEMx register to another location. DAC12 with DMA: Automatically moves data to the DAC12_xDAT register. 14

15 DMA configuration and operation (9/9) DMA transfers: DMA with flash memory: Automatically moves data to the Flash memory; Performs the data move data word/byte to the Flash; The write timing control is done by the Flash controller; Write transfers to the Flash memory succeed if the Flash controller set-up is done before the DMA transfer and if the Flash is not busy. All DMA transfers: Occur without CPU intervention; Operate independently of any low-power modes; Increase throughput of modules. 15

16 DMA Registers (1/11) DMACTL0, DMA Control Register 0 (FG4618) Reserved DMA2TSELx DMA1TSELx DMA0TSELx All DMAxTSELx registers are the same. DMAxTSELx Transfer triggered 0000 when DMAREQ = 1 (DMAREQ = 0 automatically when the transfer starts) <Timer_A> when TACCR2 CCIFG = (CCIFG = 0 automatically when the transfer starts) If CCIE = 1, CCIFG won t trigger a transfer <Timer_B> when TBCCR2 CCIFG = (CCIFG = 0 automatically when the transfer starts) If CCIE = 1, CCIFG won t trigger a transfer 16

17 DMA Registers (2/11) DMACTL0, DMA Control Register 0 (FG4618) (continued) DMAxTSELx Transfer triggered <USART0>: when URXIFG0 = 1 (URXIFG0 = 0 automatically when the transfer starts) If URXIE0 = 1, URXIFG0 flag won t trigger a transfer 0011 <USCI_A0>: when UCA0RXIFG = 1 (UCA0RXIFG = 0 automatically when the transfer starts) If UCA0RXIE = 1, UCA0RXIFG flag won t trigger a transfer <USART0>: when UTXIFG0 =1 (UTXIFG0 = 0 automatically when the transfer starts) If UTXIE0 = 1, UTXIFG0 flag won t trigger a transfer 0100 <USCI_A0>: when UCA0TXIFG = 1 (UCA0TXIFG = 0 automatically when the transfer starts) UCA0TXIE = 1, UCA0TXIFG flag won t trigger a transfer <DAC12> when DAC12_0CTL DAC12IFG = (DAC12IFG = 0 automatically when the transfer starts) If DAC12IE = 1, DAC12IFG won t trigger a transfer 17

18 DMA Registers (3/11) DMACTL0, DMA Control Register 0 (FG4618) (continued) DMAxTSELx Transfer triggered <ADC12> when ADC12IFGx = 1 (corresponding ADC12IFGx flag for single-channel conversions, and the ADC12IFGx for the last conversion for 0110 sequence conversions) (All ADC12IFGx = 0 automatically when the associated ADC12MEMx register is accessed by the DMA controller) <Timer_A> when TACCR0 CCIFG = 1: 0111 CCIFG = 0 automatically when the transfer starts If CCIE = 1, CCIFG flag won t trigger a transfer <Timer_B> when TBCCR0 CCIFG = (CCIFG = 0 automatically when the transfer starts) If CCIE = 1, CCIFG won t trigger a transfer <USART1>: 1001 when URXIFG1 = 1 (URXIFG1 = 0 automatically when the transfer starts) If URXIE1 = 1, URXIFG0 flag won t trigger a transfer 18

19 DMA Registers (4/11) DMACTL0, DMA Control Register 0 (FG4618) (continued) DMAxTSELx Transfer triggered <USART1>: when UTXIFG1 =1 (UTXIFG1 = 0 automatically when the transfer starts) If UTXIE1 = 1, UTXIFG0 flag won t trigger a transfer <Hardware Multiplier> when the hardware multiplier is ready for a new operand <USCI_B0>: when UCB0RXIFG = 1 (UCB0RXIFG = 0 automatically when the transfer starts) If UCB0RXIE = 1, UCB0RXIFG flag won t trigger a transfer <USCI_B0>: when UCB0TXIFG = 1 (UCB0TXIFG = 0 automatically when the transfer starts) UCB0TXIE = 1, UCB0TXIFG flag won t trigger a transfer when the DMAxIFG = 1: DMA0IFG triggers channel 1 DMA1IFG triggers channel 2 DMA2IFG triggers channel 0 (None of the DMAxIFG = 0 automatically when the transfer starts) 1111 When an external trigger DMAE0 = 1 19

20 DMA Registers (5/11) DMACTL1, DMA Control Register 1 (FG4618) DMAONFETCH ROUNDROBIN ENNMI Bit Description 2 DMAONFETCH DMA on fetch: DMAONFETCH = 0 DMA transfer occurs immediately DMAONFETCH = 1 DMA transfer occurs on next instruction ti fetch after the trigger 1 ROUNDROBIN Round robin: ROUNDROBIN = 0 DMA channel priority is DMA0 DMA1 DMA2 ROUNDROBIN = 1 DMA channel priority changes with each transfer 0 ENNMI Enable NMI when ENNMI = 1, allowing NMI interrupt to interrupt a DMA transfer 20

21 DMA Registers (6/11) DMAxCTL, DMA Channel x Control Register (FG4618) Reserved DMADTx DMADSTINCRx DMASRCINCRx DMADSTBYTE DMASRCBYTE DMALEVEL DMAEN DMAIFG DMAIE DMAABORT DMAREQ Bit Description DMADTx DMA transfer mode: DMADT2 DMADT1 DMADT0 = 000 Single transfer DMADT2 DMADT1 DMADT0 = 001 Block transfer DMADT2 DMADT1 DMADT0 = 010 Burst-block transfer DMADT2 DMADT1 DMADT0 = 011 Burst-block transfer DMADT2 DMADT1 DMADT0 = 100 Repeated single transfer DMADT2 DMADT1 DMADT0 = 101 Repeated block transfer DMADT2 DMADT1 DMADT0 = 110 Repeated burst-block transfer DMADT2 DMADT1 DMADT0 = 111 Repeated burst-block transfer 21

22 DMA Registers (7/11) DMAxCTL, DMA Channel x Control Register (FG4618) (continued) Reserved DMADTx DMADSTINCRx DMASRCINCRx DMADSTBYTE DMASRCBYTE DMALEVEL DMAEN DMAIFG DMAIE DMAABORT DMAREQ Bit Description DMADSTINCRx DMA destination address increment/decrement after each byte or word transfer: When DMADSTBYTE = 1, the destination address increments / decrements by one When DMADSTBYTE = 0, the destination address increments/ decrements by two. DMADSTINCR1 DMADSTINCR0 = 00 Address unchanged DMADSTINCR1 DMADSTINCR0 = 01 Address unchanged DMADSTINCR1 DMADSTINCR0 = 10 Address decremented DMADSTINCR1 DMADSTINCR0 = 11 Address increment 22

23 DMA Registers (8/11) DMAxCTL, DMA Channel x Control Register (FG4618) (continued) Reserved DMADTx DMADSTINCRx DMASRCINCRx DMADSTBYTE DMASRCBYTE DMALEVEL DMAEN DMAIFG DMAIE DMAABORT DMAREQ Bit Description 9-8 DMASRCINCRx DMA source address increment/decrement after each byte or word transfer: When DMASRCBYTE = 1, the source address increments/decrements by one When DMASRCBYTE = 0, the source address increments/decrements t by two. DMASRCINCR1 DMASRCINCR0 = 00 Address unchanged DMASRCINCR1 DMASRCINCR0 = 01 Address unchanged DMASRCINCR1 DMASRCINCR0 = 10 Address decremented DMASRCINCR1 DMASRCINCR0 = 11 Address increment 23

24 DMA Registers (9/11) DMAxCTL, DMA Channel x Control Register (FG4618) (continued) Reserved DMADTx DMADSTINCRx DMASRCINCRx DMADSTBYTE DMASRCBYTE DMALEVEL DMAEN DMAIFG DMAIE DMAABORT DMAREQ Bit Description 7 DMADSTBYTE DMA destination length (byte or word): DMADSTBYTE = 0 Word DMADSTBYTE = 1 Byte 6 DMASRCBYTE DMA source length (byte or word): DMASRCBYTE = 0 Word DMASRCBYTE = 1 Byte 5 DMALEVEL DMA level: DMALEVEL = 0 Edge sensitive trigger (rising edge) DMALEVEL = 1 Level sensitive trigger (high level) 4 DMAEN DMA enable when DMAEN = 1 3 DMAIFG DMA interrupt flag DMAIFG = 1 when interrupt pending 2 DMAIE DMA interrupt enable when DMAIE = 1 1 DMAABORT DMA Abort DMAABORT = 1 when a DMA transfer is interrupted by NMI 0 DMAREQ DMA request DMAREQ = 1 starts DMA 24

25 DMA Registers (10/11) DMAxSA, DMA Source Address Register (FG4618) 32-bit register points to the DMA source address for single transfers or the first source address for block transfers. DMAxDA, DA DMA Destination i Address Register (FG4618) 32-bit register points to the DMA destination address for single transfers or the first source address for block transfers. For both registers (DMAxSA and DMAxDA): DA) Bits are reserved and always read as zero; Reading or writing to bits requires the use of extended instructions; When writing to DMAxSA or DMAxDA with word instructions, ti bits are cleared. 25

26 DMA Registers (11/11) DMAxSZ, DMA Size Address Register (FG4618) The 16-bit DMA size address register defines the number of bytes/words of data per block transfer: DMAxSZ decrements with each word or byte transfer; When DMAxSZ = 0, it is immediately and automatically reloaded with its previously initialized value. DMAIV, DMA Interrupt Vector Register (FG4618) 16 bit DMAIV value only uses bits 3 to 1 (other bits = 0); DMAIV content provides the interrupt source priority: DMAIV = 02h: DMA channel 0 (highest priority); DMAIV = 04h: DMA channel 1; DMAIV = 06h: DMA channel 2; DMAIV = 0Eh: Reserved (lowest priority). 26

27 Introduction An important feature of modern microprocessor based systems is their communication capability, that is, their ability to exchange information with other systems in the surrounding environment; At the low level, communications interfaces are used to download a firmware update or to set up local configurations (e.g. turn features on or off), amongst other tasks; At a higher level, communication interfaces are used to exchange information in distributed applications. 27

28 Communications system model (1/2) Digital communication devices: Transmitter: Has the task of putting the information into the appropriate p format for subsequent transmission; Receiver: Is responsible for collecting the message that has been sent and extracting the original information; Communication medium: The physical medium through which the information flows and is commonly implemented as: Twisted pair wire; Fibre optic cable; Radio frequency transmission. 28

29 Communications system model (2/2) Devices participating in a digital communication system: DTE: Data Terminal Equipment; DCE: Data Communications Equipment. Transmitter Receiver DTE DCE Transmission medium DCE DTE Receiver Transmitter 29

30 Transmission mode (1/5) Communications between digital devices can be divided into two types : Parallel communications; Serial communications. Parallel communications: The physical transmission medium has independent signal lines in numbers equal to the transmitted digital word bits; The information transmitted at any given instant is the data word formed by the logical levels on the various signal lines. 30

31 Transmission mode (2/5) Parallel communications: Example: Character ASCII W parallel l transmission. i Information flow 31

32 Transmission mode (3/5) Serial communications: Physical transmission medium needs only one signal line; The information transmitted is provided by the transmitter as a sequence of bits, sent at the rate established between the transmitter and the receiver; Additional information is needed to enable the synchronization between the receiver and transmitter: Start bit: Added to the beginning of the information transmitted, so that the receiver can identify the beginning of a new transmission; Stop bit(s): Added to the end of the information transmitted to indicate that the data value is complete. 32

33 Transmission mode (4/5) Serial communications: Example: Character ASCII W serial transmission: i 33

34 Transmission mode (5/5) Advantages and disadvantages of parallel and serial communication: Characteristic Parallel Serial Bus line One line per bit One line Sequence Transmission rate All bits of one word simultaneously High Sequence of bits Low Bus length Short distances Short and long distances Cost High Low Asynchronous transmission Synchronisation between needs start and stop bits Critical the different bits is Synchronous transmission characteristics demanding needs some other synchronisation 34

35 Serial communications (1/3) The start bit identifies the beginning of a data transfer and is generated by a high-to-low transition on the bus; Following the start bit are the data bits. In this example, the ASCII code for the text transfer uses seven data bits; The error-checking bit (parity bit) is sent after the data bits; To terminate the transmission, one or two stop bits are issued; Using seven data bits, the complete message can use one or two stop bits. Using eight data bits, only one stop bit is available for transmission. 35

36 Serial communications (2/3) Parity bit: Used to verify the integrity it of information transmitted; The bit is added by the transmitter and indicates whether the total sum of the numbers "1" in the message data is odd or even; The transmissions can be configured for odd or even parity. 36

37 Serial communications (3/3) Baud rate example: The transmission i of W : Character uses seven data bits; Four bits are used for control, making a total of 11 bits. This corresponds to 11 baud; If the characters are transmitted at a rate of 10 characters per second, the baud rate will be: 10x11 = 1100 baud/s. 37

38 Synchronous and asynchronous serial communications (1/2) Serial communications may be: Asynchronous: where the transmission rate (baud rate) is fixed by the transmitter and the receiver works at the same baud rate, using the transmitted start bit to synchronize the start of a new message; Synchronous: where there is a separate synchronization clock signal connected between the receiver and the transmitter. Synchronous communications: Normally one unit assumes the role of master and one or more of the other units take the role of slaves; The clock signal generated by the master is used by the slave units to transfer data in/out of the TX and RX registers; It is possible for a device to transmit and receive simultaneously. 38

39 Synchronous and asynchronous serial communications (2/2) Asynchronous communications: Characterised by the absence of any synchronization clock signal between the units; The transmission in this mode does not allow simultaneous transmission and reception, that is, when one device transmits the other devices just listen. 39

40 Serial Peripheral Interface (SPI) protocol (1/2) The Serial Peripheral Interface ( SPI) bus is a standard form of synchronous serial communication; Developed by Motorola; Operates in full duplex mode; Master/Slave relationship; Communications are always initiated by the master. Low cost. 40

41 Peripheral Interface Serial (SPI) protocol (2/2) Supports only one master; Can support more than a slave; Short distance between devices, e.g. on a printed circuit boards (PCBs); Special attention needs to be observed to the polarity and phase of the clock signal; The master sends data on one edge of clock and reads data on the other edge. Therefore, itcansend/receive at the same time. 41

42 I 2 C (Inter-Integrated Circuit) protocol (1/3) Multi-master synchronous serial computer bus; Invented by Philips Semiconductors; Developed with the main objective of establishing links between integrated circuits and to connect low-speed peripherals; Based on a two bi-directional open-drain lines pulled up with resistors: SDA: Serial Data; SCL: Serial clock. Typical voltages used are +5.0 V or +3.3 V, although systems with other voltages are possible. 42

43 I 2 C (Inter-Integrated Circuit) protocol (2/3) Communications is always initiated and completed by the master, which is responsible for generating the clock signal; In more complex applications, I 2 C can operate in multimaster mode; The slave selection by the master is made using the seven-bit address of the target slave; The master (in transmit mode) sends: Start bit; 7-bit address of the slave it wishes to communicate with; A single bit representing whether it wishes to write (0) to or read (1) from the slave; The target slave will acknowledge its address. 43

44 I 2 C (Inter-Integrated Circuit) protocol (3/3) Example of an I 2 C communication system: 44

45 MSP430 communications interfaces (1/2) Equipped with three serial communication interfaces: USART (Universal Synchronous/Asynchronous Receiver/Transmitter): UART mode; SPI mode; I 2 C (on F15x/ F16x only). USCI (Universal Serial Communication Interface): UART with Lin/IrDA support; SPI (Master/Slave, 3 and 4 wire modes); I 2 C (Master/Slave, up to 400 khz). USI (Universal Serial Interface): SPI (Master/Slave, 3 & 4 wire mode); I 2 C (Master/Slave, up to 400 khz). 45

46 MSP430 communications interfaces (2/2) Comparison between the communication modules: USART USCI USI UART: UART: - Two modulators support - Only one modulator -n/a -n/a - n/a n/16 timings - Auto baud rate detection - IrDA encoder & decoder - Simultaneous USCI_A and USCI_B (2 channels) SPI: - Only one SPI available - Master and Slave Modes - 3 and 4 Wire Modes I 2 C: (on 15x/ 16x only) - Master and Slave Modes - up to 400kbps SPI: - Two SPI (one on each SPI: USCI_A and USCI_B) - Only one SPI available - Master and Slave Modes - Master and Slave Modes - 3 and 4 Wire Modes I 2 C: - Simplified interrupt usage - Master and Slave Modes -up to 400kbps I 2 C: - SW state machine needed - Master and Slave Modes 46

CPE/EE 323 Introduction to Embedded Computer Systems Homework V

CPE/EE 323 Introduction to Embedded Computer Systems Homework V CPE/EE 323 Introduction to Embedded Computer Systems Homework V 1(15) 2(15) 3(25) 4(25) 5(20) Total Problem #1 (15 points) Power, Low power systems A sensor platform features a microcontroller, a sensor,

More information

MSP430FG4618 Programming Reference Revision 3

MSP430FG4618 Programming Reference Revision 3 MSP430FG4618/F2013 Experimenter Board MSP430FG4618 Programming Reference Revision 3 George Mason University 1. CPU Registers The CPU incorporates sixteen 20-bit registers. R0, R1, R2 and R3 have dedicated

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Growing Together Globally Serial Communication Design In Embedded System

Growing Together Globally Serial Communication Design In Embedded System Growing Together Globally Serial Communication Design In Embedded System Contents Serial communication introduction......... 01 The advantages of serial design......... 02 RS232 interface......... 04 RS422

More information

UNIT IV SERIAL COMMUNICATIONS

UNIT IV SERIAL COMMUNICATIONS UNIT IV SERIAL COMMUNICATIONS Serial channels are the main form of communications used in digital systems nowadays. Diverse forms of serial communication formats and protocols can be found in applications

More information

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang,

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang, Network Embedded Systems Sensor Networks Fall 2013 Hardware Marcus Chang, mchang@cs.jhu.edu 1 Embedded Systems Designed to do one or a few dedicated and/or specific functions Embedded as part of a complete

More information

MSP430 Teaching Materials

MSP430 Teaching Materials MSP430 Teaching Materials Lecture 11 Flash Programming & TLV Structure Texas Instruments t Incorporated University of Beira Interior (PT) Pedro Dinis Gaspar, António Espírito Santo, Bruno Ribeiro, Humberto

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 4.1. Serial data communication basics ----------- 1 4.2. UART ------------------------------------------------ 4 4.3. Serial Peripheral

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

MSP430 Teaching Materials

MSP430 Teaching Materials MSP430 Teaching Materials Lecture 5 Timers Description of clock signals Texas Instruments Incorporated University of Beira Interior (PT) Pedro Dinis Gaspar, António Espírito Santo, Bruno Ribeiro, Humberto

More information

or between microcontrollers)

or between microcontrollers) : Communication Interfaces in Embedded Systems (e.g., to interface with sensors and actuators or between microcontrollers) Spring 2016 : Communication Interfaces in Embedded Systems Spring (e.g., 2016

More information

Design of a Learning Environment for Embedded System

Design of a Learning Environment for Embedded System , June 30 - July 2, 2010, London, U.K. Design of a Learning Environment for Embedded System Tiago Gonçalves, A. Espírito-Santo, B.J.F. Ribeiro, P. D. Gaspar 1 Abstract Embedded systems have an everyday

More information

Introduction to I2C & SPI. Chapter 22

Introduction to I2C & SPI. Chapter 22 Introduction to I2C & SPI Chapter 22 Issues with Asynch. Communication Protocols Asynchronous Communications Devices must agree ahead of time on a data rate The two devices must also have clocks that are

More information

Serial Communication. Spring, 2018 Prof. Jungkeun Park

Serial Communication. Spring, 2018 Prof. Jungkeun Park Serial Communication Spring, 2018 Prof. Jungkeun Park Serial Communication Serial communication Transfer of data over a single wire for each direction (send / receive) Process of sending data one bit at

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

RL78 Serial interfaces

RL78 Serial interfaces RL78 Serial interfaces Renesas Electronics 00000-A Introduction Purpose This course provides an introduction to the RL78 serial interface architecture. In detail the different serial interfaces and their

More information

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of this interface, which is widely used for serial communications.

More information

CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview

CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview Aleksandar Milenkovic Electrical and Computer Engineering The University of Alabama in Huntsville milenka@ece.uah.edu

More information

The 16-bit timer/counter register, TAR, increments or decrements (depending on mode of operation) with each rising edge of the clock signal.

The 16-bit timer/counter register, TAR, increments or decrements (depending on mode of operation) with each rising edge of the clock signal. Timer & Real Time Clock (RTC), PWM control, timing generation and measurements. Analog interfacing and data acquisition: ADC and Comparator in MSP430, data transfer using DMA. Case Study: MSP430 based

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

MSP430 Microcontroller Basics

MSP430 Microcontroller Basics MSP430 Microcontroller Basics John H. Davies AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of Elsevier N WPIGS Contents Preface

More information

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Serial data transfer - principle Serial data

More information

Dariusz Makowski Department of Microelectronics and Computer Science tel

Dariusz Makowski Department of Microelectronics and Computer Science tel Dariusz Makowski Department of Microelectronics and Computer Science tel. 631 2720 dmakow@dmcs.pl http://fiona.dmcs.pl/es 1 Lecture Agenda Microprocessor Systems, ARM Processors Family Peripheral Devices

More information

AVR XMEGA TM. A New Reference for 8/16-bit Microcontrollers. Ingar Fredriksen AVR Product Marketing Director

AVR XMEGA TM. A New Reference for 8/16-bit Microcontrollers. Ingar Fredriksen AVR Product Marketing Director AVR XMEGA TM A New Reference for 8/16-bit Microcontrollers Ingar Fredriksen AVR Product Marketing Director Kristian Saether AVR Product Marketing Manager Atmel AVR Success Through Innovation First Flash

More information

ELE492 Embedded System Design

ELE492 Embedded System Design Overview ELE9 Embedded System Design Examples of Human I/O Interfaces Types of System Interfaces Use of standards RS Serial Communication Overview of SPI, I C, L, and CAN Class //0 Eugene Chabot Examples

More information

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses Serial Peripheral Interface What is it? Basic SPI Capabilities Protocol Serial Peripheral Interface http://upload.wikimedia.org/wikipedia/commons/thumb/e/ed/ SPI_single_slave.svg/350px-SPI_single_slave.svg.png

More information

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet HZX-51822-16N03 Bluetooth 4.0 Low Energy Module Datasheet SHEN ZHEN HUAZHIXIN TECHNOLOGY LTD 2017.7 NAME : Bluetooth 4.0 Low Energy Module MODEL NO. : HZX-51822-16N03 VERSION : V1.0 1.Revision History

More information

USER GUIDE EDBG. Description

USER GUIDE EDBG. Description USER GUIDE EDBG Description The Atmel Embedded Debugger (EDBG) is an onboard debugger for integration into development kits with Atmel MCUs. In addition to programming and debugging support through Atmel

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 135 Serial Communication Simplex Half-Duplex Duplex 136 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

AN-895 APPLICATION NOTE

AN-895 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com ADuC702x MicroConverter I 2 C -Compatible Interface by Michael Looney

More information

DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG

DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG DESIGNING OF INTER INTEGRATED CIRCUIT USING VERILOG DISHA MALIK Masters of Technology Scholar, Department of Electronics & Communication Engineering, Jayoti Vidyapeeth Women s University, Jaipur INDIA

More information

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved.

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Serial Communication Prof. James L. Frankel Harvard University Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Overview of the Serial Protocol Simple protocol for communicating

More information

CPE 323: MSP430 Serial Communication

CPE 323: MSP430 Serial Communication CPE 323: MSP430 Serial Communication Aleksandar Milenkovic Electrical and Computer Engineering The University of Alabama in Huntsville milenka@ece.uah.edu http://www.ece.uah.edu/~milenka Outline Introduction

More information

MCS-51 Serial Port A T 8 9 C 5 2 1

MCS-51 Serial Port A T 8 9 C 5 2 1 MCS-51 Serial Port AT89C52 1 Introduction to Serial Communications Serial vs. Parallel transfer of data Simplex, Duplex and half-duplex modes Synchronous, Asynchronous UART Universal Asynchronous Receiver/Transmitter.

More information

Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to

Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to handle the STM32 peripheral data transfers. 1 The Direct

More information

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 McMaster University Embedded Systems Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 Serial Peripherals I2C Inter-IC Bus X/Y Coord. RGB data LCD config controller LCD data controller

More information

Raspberry Pi - I/O Interfaces

Raspberry Pi - I/O Interfaces ECE 1160/2160 Embedded Systems Design Raspberry Pi - I/O Interfaces Wei Gao ECE 1160/2160 Embedded Systems Design 1 I/O Interfaces Parallel I/O and Serial I/O Parallel I/O: multiple input/output simultaneously

More information

Lab 4 Interrupts ReadMeFirst

Lab 4 Interrupts ReadMeFirst Lab 4 Interrupts ReadMeFirst Lab Folder Content 1) ReadMeFirst 2) Interrupt Vector Table 3) Pin out Summary Objectives Understand how interrupts work Learn to program Interrupt Service Routines in C Language

More information

Parallel-to-Serial and Serial-to-Parallel Converters

Parallel-to-Serial and Serial-to-Parallel Converters Session 1532 Parallel-to-Serial and Serial-to-Parallel Converters Max Rabiee, Ph.D., P.E. University of Cincinnati Abstract: Microprocessors (MPUs) on a computer motherboard communicate in a parallel format

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

CM5000 DATASHEET v0.1

CM5000 DATASHEET v0.1 CM5000 DATASHEET - 2 - http://www.advanticsys.com/cm5000.html v0.1 Table of Contents 1. INTRODUCTION... 5 2. HARDWARE CHARACTERISTICS... 6 2.1 CM5000 DIAGRAMS... 6 2.2 MICROCONTROLLER DESCRIPTION - TI

More information

2002 Mixed Signal Products SLAU056B

2002 Mixed Signal Products SLAU056B User s Guide 22 Mixed Signal Products SLAU56B IMPORTANT NOTICE Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications, enhancements, improvements,

More information

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies CprE 488 Embedded Systems Design Lecture 4 Interfacing Technologies Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Never trust

More information

Informatics for industrial applications

Informatics for industrial applications Informatics for industrial applications Lecture 5 - Peripherals: USART and DMA Martino Migliavacca martino.migliavacca@gmail.com October 20, 2011 Outline 1 Introduction to USART Introduction Synchronous

More information

Serial Buses in Industrial and Automotive Applications

Serial Buses in Industrial and Automotive Applications Serial Buses in Industrial and Automotive Applications Presented by Neelima Chaurasia Class: #368 1 Overview As consumer electronics, computer peripherals, vehicles and industrial applications add embedded

More information

Laboratory 5 Communication Interfaces

Laboratory 5 Communication Interfaces Laboratory 5 Communication Interfaces Embedded electronics refers to the interconnection of circuits (micro-processors or other integrated circuits) with the goal of creating a unified system. In order

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

EDBG. Description. Programmers and Debuggers USER GUIDE

EDBG. Description. Programmers and Debuggers USER GUIDE Programmers and Debuggers EDBG USER GUIDE Description The Atmel Embedded Debugger (EDBG) is an onboard debugger for integration into development kits with Atmel MCUs. In addition to programming and debugging

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Digital Storage Oscilloscope

Digital Storage Oscilloscope Digital Storage Oscilloscope GDS-3000 Series SERIAL DECODE MANUAL GW INSTEK PART NO. 82DS-SBD00U01 ISO-9001 CERTIFIED MANUFACTURER October 2010 This manual contains proprietary information, which is protected

More information

VORAGO VA108x0 I 2 C programming application note

VORAGO VA108x0 I 2 C programming application note AN1208 VORAGO VA108x0 I 2 C programming application note MARCH 14, 2017 Version 1.1 VA10800/VA10820 Abstract There are hundreds of peripheral devices utilizing the I 2 C protocol. Most of these require

More information

M68HC08 Microcontroller The MC68HC908GP32. General Description. MCU Block Diagram CPU08 1

M68HC08 Microcontroller The MC68HC908GP32. General Description. MCU Block Diagram CPU08 1 M68HC08 Microcontroller The MC68HC908GP32 Babak Kia Adjunct Professor Boston University College of Engineering Email: bkia -at- bu.edu ENG SC757 - Advanced Microprocessor Design General Description The

More information

UNIT - II PERIPHERAL INTERFACING WITH 8085

UNIT - II PERIPHERAL INTERFACING WITH 8085 UNIT - II PERIPHERAL INTERFACING WITH 8085 Peripheral Interfacing is considered to be a main part of Microprocessor, as it is the only way to interact with the external world. The interfacing happens with

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 6 Interfaces for serial communication Year 3 CS Academic year 2017/2018 1 st Semester Lecturer: Radu Dănescu Serial communication modules on AVR MCUs Serial Peripheral

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

Arduino Uno R3 INTRODUCTION

Arduino Uno R3 INTRODUCTION Arduino Uno R3 INTRODUCTION Arduino is used for building different types of electronic circuits easily using of both a physical programmable circuit board usually microcontroller and piece of code running

More information

In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them.

In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them. Communication Introduction In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them. Objectives USART USCI USI MSP430 One Day Workshop -

More information

Digital Input and Output

Digital Input and Output Digital Input and Output Topics: Parallel Digital I/O Simple Input (example) Parallel I/O I/O Scheduling Techniques Programmed Interrupt Driven Direct Memory Access Serial I/O Asynchronous Synchronous

More information

In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them.

In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them. Communication Introduction In this module we ll take a look at the MSP430 communications modules and the protocols that can be implemented over them. Objectives USART USCI USI MSP430 One Day Workshop -

More information

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET Development and research of different architectures of I 2 C bus controller E. Vasiliev, MIET I2C and its alternatives I²C (Inter-Integrated Circuit) is a multi-master serial computer bus invented by Philips

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5092 P-DIP-8-1

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5092 P-DIP-8-1 Nonvolatile Memory 1-Kbit E 2 PROM SDA 2516-5 Preliminary Data MOS IC Features Word-organized reprogrammable nonvolatile memory in n-channel floating-gate technology (E 2 PROM) 128 8-bit organization Supply

More information

EFM32 Series 0: DMA (ARM PrimeCell µdma PL230)

EFM32 Series 0: DMA (ARM PrimeCell µdma PL230) EFM32 Series 0: DMA (ARM PrimeCell µdma PL230) EFM32 - DMA DMA has read/write access to most of the EFM32 memory map Flash writes can not be done in memory map, but through sequenced writes to peripheral

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Timers Material taken from Dreslinski, Dutta, Le, Ramadas, Smith, Tikhonov & Mahal 1 Agenda A bit on timers Project overview

More information

Serial Communications

Serial Communications 1 Serial Interfaces 2 Embedded systems often use a serial interface to communicate with other devices. Serial Communications Serial implies that it sends or receives one bit at a time. Serial Interfaces

More information

1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals.

1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals. 1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals. A typical communication link between the processor and

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6008 - Microcontroller Based System Design UNIT III PERIPHERALS AND INTERFACING PART A 1. What is an

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

DC6688FL32TC Super 1T 8051 Microcontroller

DC6688FL32TC Super 1T 8051 Microcontroller DC6688FL32TC Super 1T 8051 Microcontroller DC6688FL32TC is an 8-bit Microcontroller Unit with low voltage embedded Flash memory, high accuracy system clock, high current drainage output, and IR receiving

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 7 Design of Microprocessor-Based Systems Matt Smith University of Michigan Serial buses, digital design Material taken from Brehob, Dutta, Le, Ramadas, Tikhonov & Mahal 1 Timer Program //Setup Timer

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

EC2304-MICROPROCESSOR AND MICROCONROLLERS 2 marks questions and answers UNIT-I

EC2304-MICROPROCESSOR AND MICROCONROLLERS 2 marks questions and answers UNIT-I EC2304-MICROPROCESSOR AND MICROCONROLLERS 2 marks questions and answers 1. Define microprocessors? UNIT-I A semiconductor device(integrated circuit) manufactured by using the LSI technique. It includes

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

Lecture 10. Serial Communication

Lecture 10. Serial Communication Lecture 10 Serial Communication Serial Communication Introduction Serial communication buses Asynchronous and synchronous communication UART block diagram UART clock requirements Programming the UARTs

More information

Emulating I2C Bus Master by using FlexIO

Emulating I2C Bus Master by using FlexIO Freescale Semiconductor, Inc. Document Number: AN5133 Application Notes Rev. 0, 06/2015 Emulating I2C Bus Master by using FlexIO 1. Introduction This application note lists the steps to use the FlexIO

More information

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I.

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I. University of Texas at El Paso Electrical and Computer Engineering Department EE 3176 Laboratory for Microprocessors I Fall 2016 LAB 08 UART Communication Goals: Learn about UART Communication and the

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT IV I/O INTERFACING PART A (2 Marks)

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT IV I/O INTERFACING PART A (2 Marks) MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI-621213. UNIT IV I/O INTERFACING PART A (2 Marks) 1. Name the three modes used by the DMA processor to transfer data? [NOV/DEC 2006] Signal transfer mode (cycling

More information

Typical modules include interfaces to ARINC-429, ARINC-561, ARINC-629 and RS-422. Each module supports up to 8 Rx or 8Tx channels.

Typical modules include interfaces to ARINC-429, ARINC-561, ARINC-629 and RS-422. Each module supports up to 8 Rx or 8Tx channels. Modular PCI Range of Cards Summary features Modular Architecture Interface compatible with PCI Local bus Specification, revision 2.1, June 1995 2 or 4 Module General Purpose Carrier Cards 8 Channels per

More information

Application Note, V1.0, Jul AP XC16x. Interfacing the XC16x Microcontroller to a Serial SPI EEPROM. Microcontrollers

Application Note, V1.0, Jul AP XC16x. Interfacing the XC16x Microcontroller to a Serial SPI EEPROM. Microcontrollers Application Note, V1.0, Jul. 2006 AP16095 XC16x Interfacing the XC16x Microcontroller to a Serial SPI EEPROM Microcontrollers Edition 2006-07-10 Published by Infineon Technologies AG 81726 München, Germany

More information

ec-test-mate Hardware Reference Manual

ec-test-mate Hardware Reference Manual ec-test-mate Hardware Reference Manual Version 1.1.0.0. 7/11/2014 1 / 35 Table of contents Overview... 3 ec-test-mate types... 4 ec-test-mate Type I (TCC 211)... 5 Functional description... 5 Analog Inputs

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

Digital Circuits Part 2 - Communication

Digital Circuits Part 2 - Communication Introductory Medical Device Prototyping Digital Circuits Part 2 - Communication, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Topics Microcontrollers Memory

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

Level Translator For SPI and I²C Bus Signals

Level Translator For SPI and I²C Bus Signals Level Translator For SPI and I²C Bus Signals APN007 Abstract A serial interface is often used for board-level communication between different integrated circuits, especially in space-constrained applications

More information

I2C a learn.sparkfun.com tutorial

I2C a learn.sparkfun.com tutorial I2C a learn.sparkfun.com tutorial Available online at: http://sfe.io/t82 Contents Introduction Why Use I2C? I2C at the Hardware Level Protocol Resources and Going Further Introduction In this tutorial,

More information

Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all

Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all Lecture-65 SERIAL DATA COMMMUNICATION The data bus of a microcomputer system is designed to transfer data to and from I/O device in parallel - all bits of a data word are transformed simultaneously. This

More information

UNIT II SYSTEM BUS STRUCTURE 1. Differentiate between minimum and maximum mode 2. Give any four pin definitions for the minimum mode. 3. What are the pins that are used to indicate the type of transfer

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 10: Serial buses October 2, 2014 Some material from: Brehob, Le, Ramadas, Tikhonov & Mahal 1 Announcements Special

More information

Doc: page 1 of 8

Doc: page 1 of 8 Minicon Reference Manual Revision: February 9, 2009 Note: This document applies to REV C of the board. 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Minicon board is a

More information

SEIKO EPSON CORPORATION

SEIKO EPSON CORPORATION CMOS 16-bit Application Specific Controller 16-bit RISC CPU Core S1C17 (Max. 33 MHz operation) 128K-Byte Flash ROM 16K-Byte RAM (IVRAM are shared by CPU and LCDC) DSP function (Multiply, Multiply and Accumulation,

More information

MOS INTEGRATED CIRCUIT

MOS INTEGRATED CIRCUIT DATA SHEET MOS INTEGRATED CIRCUIT µpd6708 IEBus (Inter Equipment Bus ) PROTOCOL CONTROL LSI DESCRIPTION The µpd6708 is a peripheral LSI for microcontrollers that controls the protocol of the IEBus. This

More information

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation

CoE3DJ4 Digital Systems Design. Chapter 5: Serial Port Operation CoE3DJ4 Digital Systems Design Chapter 5: Serial Port Operation Serial port 8051 includes an on-chip serial port Hardware access to the port is through TXD and RXD (Port 3 bits 1 and 0) Serial port is

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information

Chapter 1: Basics of Microprocessor [08 M]

Chapter 1: Basics of Microprocessor [08 M] Microprocessor: Chapter 1: Basics of Microprocessor [08 M] It is a semiconductor device consisting of electronic logic circuits manufactured by using either a Large scale (LSI) or Very Large Scale (VLSI)

More information

Two Wire Interface (TWI) also commonly called I2C

Two Wire Interface (TWI) also commonly called I2C (TWI) also commonly called I2C MSP432 I2C 2 tj MSP432 I2C ARM (AMBA Compliant) 8 bit transmission word 7/10 bit addressing Multi-master/slave modes 4 slave addresses 4 eusci-b modules 3 tj Overview 8 bit

More information