ASDeX-driven Analog Circuit Verification

Size: px
Start display at page:

Download "ASDeX-driven Analog Circuit Verification"

Transcription

1 -driven Analog Circuit Verification, Lars Hedrich Sebastian Steinhorst Department of Computer Science Goethe University Frankfurt am Main, Germany TUM CREATE Singapore Workshop Frontiers in Analog CAD, Berkeley, Feb. 14 th -15 th 2013

2 Outline Introduction and AXEI -driven Work-Flow Conclusion

3 Introduction Introduction Motivation: Aim: - Specification for analog circuits mostly written in text Complex description misunderstanding - Verification methodologies Difficult to understand without additional documentation Rarely implemented by end users - IP-XACT for digital circuits [IEEE SA ] - integrated successfully into validation and synthesis workflow - Integration of -format into analog verification workflow Include all relevant information - An interface from to target tools ASL & assertion based model checking [1] Other verification methodologies 3

4 Introduction Assertion-based Verification 4

5 Introduction -driven Design Flows AXEI & Templates DUV s specification in specification testbench function task 5

6 Introduction -driven Design Flows AXEI & Templates DUV s specification in specification testbench function task ASL 6

7 and AXEI Concept for Code Generation using AXEI Input: - file set - Code templates Template 7

8 and AXEI Concept for Code Generation using AXEI Input: - file set - Code templates Engine Interface: - Python - Parser - Generator Template AXEI Generator 8

9 and AXEI Concept for Code Generation using AXEI Input: - file set - Code templates Engine Interface: - Python - Parser - Generator Output: - Target code for design tools - New values of circuit s properties in - Documentation Template AXEI Generator Target Code PERL ASL VHDL- AMS 9

10 and AXEI Overview of Structure : Analog Specification Description in XML Formalization through XML-Schema-Definition (XSD) Contents: All relevant parameters of specification Ports with characteristics Properties of analog circuits Operating parameters & environmental conditions Information about measurement, testbench and simulation 10

11 and AXEI Overview of Structure : Analog Specification Description in XML Formalization through XML-Schema-Definition (XSD) Contents: All relevant parameters of specification Ports with characteristics Properties of analog circuits Operating parameters & environmental conditions Information about measurement, testbench and simulation 11

12 and AXEI Mapping Sub-Blocks in the Task Block Task 12

13 and AXEI Mapping Sub-Blocks in the Task Block Task Z = plog X Y Z = plog U U

14 and AXEI Mapping Sub-Blocks in the Task Block Task Z = plog X Y 20 Z = plog U U

15 and AXEI Mapping Sub-Blocks in the Task Block Task TB-Library TB component connects to port A and port B Specification Definition: Port input Port output Z = plog X Y 20 Z = plog U U 1 2 A = input B = output 15

16 ASDex-driven work-flow Examples: Generation of Testbench Code Template AXEI Generator Target Code PERL VHDL- AMS inn inp ASL 16

17 ASDex-driven work-flow Examples: Generation of Testbench Code <Specification> <ports> <port><name>in1</> <port><name>output</> <Testbench> <component> <name>uin</> <nature>v</> <dc_supply> <p_net>inp</> <n_net>0</> <Task-tb_port_mapping> <tb_port_mapping> <port_name>output</> <te_net_name>uout</> <tb_port_mapping> <port_name>in1</> <tb_net_name>inp</> Template AXEI Generator Target Code PERL ASL VHDL- AMS inn inp 17

18 ASDex-driven work-flow Examples: Generation of Testbench Code <Specification> <ports> <port><name>in1</> <port><name>output</> <Testbench> <component> <name>uin</> <nature>v</> <dc_supply> <p_net>inp</> <n_net>0</> AXEI Generator Template (Mako) Mapping: % for spec_port in ports.port: % if spec_port.get_name( ) == mapp.port_name: % spec_port.set_name(mapp.tb_port_name) Generation: % ${cp.nature} (instanz(ref_name, Template `${cp.name} ), net${dc_supply.p_net}, ${dc_supply.n_net}, ${cp_par.name}=${cp_par.value}); <Task-tb_port_mapping> <tb_port_mapping> <port_name>output</> <te_net_name>uout</> <tb_port_mapping> <port_name>in1</> <tb_net_name>inp</> spv (instanz(ref_name, `Uin ), netinp, 0, p1=1.5); SPICE like netlist file inn inp 18

19 ASDex-driven work-flow Examples: Generation of Function Code Template AXEI Generator Target Code PERL ASL VHDL- AMS 19

20 ASDex-driven work-flow Examples: Generation of Function Code <Function><name>slew-rate</> <object_name>in</> <object_name>out</> <variable_name>low</> <variable_default><value>0.2</> <divide/> <apply><minus/> <apply><fn><ci>max</></> <ci>out</></> <apply><fn><ci>min</></> <ci>out</></></> <apply><fn><ci>risetime</></> Template AXEI Generator <Ref_function><ref_name>slew-rate</> <func_mapping> <object_in_function>in</> <tb_net>inp</> <func_mapping> <object_in_function>out</> <tb_component>output</> <parameter_override> <variable_name>low</> <value>0.1</> Target Code PERL ASL VHDL- AMS 20

21 ASDex-driven work-flow Examples: Generation of Function Code <Function><name>slew-rate</> <object_name>in</> <object_name>out</> <variable_name>low</> <variable_default><value>0.2</> <divide/> <apply><minus/> <apply><fn><ci>max</></> <ci>out</></> <apply><fn><ci>min</></> <ci>out</></></> <apply><fn><ci>risetime</></> Template (Mako) % for child in function.iter(): %% %% Build the equation %% Replace the object name %% Override the variable value %% Transform to the target code function Template %% AXEI Generator <Ref_function><ref_name>slew-rate</> <func_mapping> <object_in_function>in</> <tb_net>inp</> <func_mapping> <object_in_function>out</> <tb_component>output</> <parameter_override> <variable_name>low</> <value>0.1</> ΔOUT / risetime Function ASL(macro) file numvar %intern_output_min, ; on steady assign(%intern_output_min,min) value(out)[-inf,inf]; on steady assign(%intern_output_max,max) value(out)[-inf,inf]; 21

22 ASDex-driven work-flow Verification Run 22

23 ASDex-driven work-flow Verification Run GUI Automatic Automatic Automatic Automatic Automatic Automatic 23

24 ASDex-driven work-flow Verification Run 180nm Technology Specification description in Format Testbench & Function Generation Properties Assertion Simulation Model Checking Evaluation Documentation Generation GUI Automatic Automatic Automatic Automatic Automatic Automatic 24

25 Conclusion Conclusion An -driven verification framework All necessary methods provided by AXEI Case study An higher degree of automation and formalization in verification process Better usability for end users 25

26 Thank you for your attention! Home Page: Workshop Frontiers in Analog CAD, Berkeley, Feb. 14 th -15 th 2013

27 Signal Transition (1) 27

28 Signal Transition (2) 28

29 and AXEI Description in Function Block Formulas described in MathML format Name of the function List of the objects to be calculated Function List of the variables, which are defined in the function Variable s name Default value of the variable Path for a pre-defined function description in mathml mathml description block Needed for property parameters calculation, e.g. in a function library of the model checking work flow [1]. 29

30 and AXEI Description in Function Block Formulas described in MathML format Function 20 Z = plog X Y Objects Variable Needed for property parameters calculation, e.g. in a function library of the model checking work flow [1]. 30

31 Topology Synthesis from Examples: Generation of Ocean Scripts <Function> <object_name>x</> <object_name>y</> <variable_name>low</> <variable_default><value>0.1</> <mrow> <mi>psrr</> <mo>=</> <mn>20</> <mi>log</> <mo>(</> <mrow> <mi>x</> <mo>(</> <mi>freq</> <mo>)</> </> <mo>/</> <mrow> <mi>y</> <mo>(</> <mi>freq</> <mo>)</> </> <mo>)</> </> <Ref_function> <func_mapping> <object_in_function>x</> <tb_component>vcc</> <func_mapping> <object_in_function>y</> <tb_component>vos</> <parameter_override> <variable_name>freq</> <value>10</> Template (Mako) % for child in function.iter(): %% %% Build the equation %% Replace the object name %% Override the variable value %% Transform to the target code function Template %% AXEI Generator PSRR = 20log(Vcc(freq=10)/Vos(freq=10)) Ocean Script {(db20(value(mag(getdata("/vcc"?result "xf-xf")) 10)) - db20(value(mag(getdata("/vos"?result "xf-xf")) 10)))} 31

32 Conclusion Comparison Manual design flaw avoided Time saved, through the fully automatic framework 32

33 Conclusion Comparison asdex::specio::class docs doc_output asdex_output Manual design flaw avoided Time saved, through the fully automatic framework 33

34 Conclusion Comparison Manual design flaw avoided Time saved, through the fully automatic framework 34

35 Conclusion Comparison asdex::specio::class docs doc_output asdex_output Manual design flaw avoided Time saved, through the fully automatic framework 35

36 Conclusion Comparison Manual design flaw avoided Time saved, through the fully automatic framework 36

37 Conclusion Comparison Manual design flaw avoided Time saved, through the fully automatic framework 37

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

What is Metamodeling and Code Generation All About

What is Metamodeling and Code Generation All About Automating Design and Verification of Embedded Systems Using Metamodeling and Code Generation Techniques What is Metamodeling and Code Generation All About Wolfgang Ecker & Michael Velten, Infineon Tutorial

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1) September 3, 2018 GETTING STARTED WITH VHDL 2 Top-down design VHDL history Main elements of VHDL Entities and architectures Signals and processes Data types Configurations Simulator basics The testbench

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

Analog Mixed Signal Extensions for SystemC

Analog Mixed Signal Extensions for SystemC Analog Mixed Signal Extensions for SystemC White paper and proposal for the foundation of an OSCI Working Group (SystemC-AMS working group) Karsten Einwich Fraunhofer IIS/EAS Karsten.Einwich@eas.iis.fhg.de

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Gerhard Noessing, Villach

Gerhard Noessing, Villach Gerhard Noessing, Villach AGENDA Frequency Domain simulation Matlab or SystemC-AMS? Noise simulation with SystemC-AMS Compare Time Domain with Frequency Domain Simulation Simulation Results Conclusion

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals Rüdiger Schroll *1) Wilhelm Heupke *1) Klaus Waldschmidt *1) Christoph Grimm *2) *1) Technische Informatik *2) Institut für Mikroelektronische

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

MathML to TeX Conversion: Conserving high-level semantics

MathML to TeX Conversion: Conserving high-level semantics Elena Smirnova and Stephen M. Watt Ontario Research Centre for Computer Algebra, University of Western Ontario MathML to TeX Conversion: Conserving high-level semantics ** Demo description ** Contents:

More information

An Intuitive Formal Approach to Dynamic Workflow Modeling and Analysis

An Intuitive Formal Approach to Dynamic Workflow Modeling and Analysis An Intuitive Formal Approach to Dynamic Workflow Modeling and Analysis Jiacun Wang, Daniela Rosca, William Tepfenhart, Allen Milewski, Michael Stoute Department of Software Engineering Monmouth University

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture Behavioral Simulation Exercises Michael H Perrott August 13, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. A

More information

Automated JAVA GUI Testing. Challenges and Experiences

Automated JAVA GUI Testing. Challenges and Experiences Automated JAVA GUI Testing Challenges and Experiences Java Forum Stuttgart 2008 About me Reginald Stadlbauer Co-founder and CEO of froglogic GmbH, Hamburg, Germany Former Senior

More information

Marrying Formal Methods With Simulation-Based Verification Function Verification Research at UCSB. Tim Cheng & Li-C. Wang UC-Santa Barbara

Marrying Formal Methods With Simulation-Based Verification Function Verification Research at UCSB. Tim Cheng & Li-C. Wang UC-Santa Barbara Marrying Formal Methods With Simulation-Based Verification Function Verification Research at UCSB Tim Cheng & Li-C. Wang UC-Santa Barbara 1 Outline Current Issues in Functional Verification Functional

More information

Integration-aware Modeling, Simulation and Design Techniques for Smart Electronic Systems

Integration-aware Modeling, Simulation and Design Techniques for Smart Electronic Systems Scuola di Dottorato Ph.D. in Control and Computer Engineering XXVII cycle Integration-aware Modeling, Simulation and Design Techniques for Smart Electronic Systems Alessandro Sassone Advisors: Prof. Enrico

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

Network configuration management at CERN

Network configuration management at CERN Arkadiy Shevrikuko Stefan Stancu Network configuration management at CERN 10/8/2018 1 Outline Network overview Current solution: cfmgr Overview of open-source platforms Evolution plan 10/8/2018 2 Network

More information

Lecture 6. Tutorial on Cadence

Lecture 6. Tutorial on Cadence Lecture 6. Tutorial on Cadence Virtuoso Schematic Editor Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org Schematic Editor Schematic editor (e.g. Cadence Virtuoso)

More information

Equivalence Validation of Analog Behavioral Models

Equivalence Validation of Analog Behavioral Models Equivalence Validation of Analog Behavioral Models Hardik Parekh *, Manish Kumar Karna *, Mohit Jain*, Atul Pandey +, Sandeep Mittal ++ * ST MICROELECTRONICS PVT. LTD., GREATER NOIDA,INDIA { HARDIK.PAREKH,

More information

Lab 3 Verilog Simulation Mapping

Lab 3 Verilog Simulation Mapping University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences 1. Motivation Lab 3 Verilog Simulation Mapping In this lab you will learn how to use

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE MALLIKARJUNA REDDY. Y, TEST AND VERIFICATION SOLUTIONS K.VENKATRAMANARAO, MINDLANCE TECHNOLOGIES AGENDA Analog Modeling Vs Real Number Modeling

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

Introduction to SystemC

Introduction to SystemC Introduction to SystemC Damien Hubaux - CETIC Outline?? A language A C++ library February 12, 2004 SystemC, an alternative for system modeling and synthesis? 2 Why SystemC? Needs Increasing complexity,

More information

Call: SharePoint 2013 Course Content:35-40hours Course Outline

Call: SharePoint 2013 Course Content:35-40hours Course Outline SharePoint 2013 Course Content:35-40hours Course Outline Exploring SharePoint Designer 2013 Understanding SharePoint Designer 2013 Using SharePoint Designer to Carry Out Common Tasks Understanding What's

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Register Transfer Methodology II

Register Transfer Methodology II Register Transfer Methodology II Chapter 12 1 Outline 1. Design example: One shot pulse generator 2. Design Example: GCD 3. Design Example: UART 4. Design Example: SRAM Interface Controller 5. Square root

More information

Outline. Register Transfer Methodology II. 1. One shot pulse generator. Refined block diagram of FSMD

Outline. Register Transfer Methodology II. 1. One shot pulse generator. Refined block diagram of FSMD Outline Register Transfer Methodology II 1. Design example: One shot pulse generator 2. Design Example: GCD 3. Design Example: UART 4. Design Example: SRAM Interface Controller 5. Square root approximation

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Hardware Synthesis. References

Hardware Synthesis. References Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com 1 References 2 1 Chapter 1 Digital Design Using VHDL and PLDs 3 Some Definitions

More information

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC GSI Helmholtzzentrum für Schwerionenforschung GmbH Experiment Electronics Department December 5, 2016 Outline 1 Motivation 2 3 Motivation Currently

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Don t expect to be able to write and debug your code during the lab session.

Don t expect to be able to write and debug your code during the lab session. EECS150 Spring 2002 Lab 4 Verilog Simulation Mapping UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping

More information

ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification

ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification Aadithya V. Karthik, Sayak Ray, Pierluigi Nuzzo, Alan Mishchenko, Robert Brayton, and Jaijeet Roychowdhury

More information

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements What is Verilog HDL? Lecture 1: Verilog HDL Introduction Verilog Hardware Description Language(HDL)? A high-level computer language can model, represent and simulate digital design Hardware concurrency

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Product Range 3SL. Cradle -7

Product Range 3SL. Cradle -7 Cradle -7 From concept to creation... 3SL Product Range PRODUCT RANGE HIGHLIGHTS APPLIES TO AGILE AND PHASE PROJECTS APPLICATION LIFECYCLE MANAGEMENT REQUIREMENTS MANAGEMENT MODELLING / MBSE / SYSML /

More information

Prof. D. Zhou UT Dallas. Analog Circuits Design Automation 1

Prof. D. Zhou UT Dallas. Analog Circuits Design Automation 1 Prof. D. Zhou UT Dallas Analog Circuits Design Automation 1 General description Design automation of analog circuits has been an active research area in the past few decades. Conventional analog circuit

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu Overview Opportunities for Full-Chip Analog Verification Analog vs. Digital Design SPICE standard design tool for Analog and Mixed-Signal

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

VHDL Symbolic simulator in OCaml

VHDL Symbolic simulator in OCaml VHDL Symbolic simulator in OCaml OCaml Meeting 2009 Grenoble France 04/02/2009 Florent Ouchet florent.ouchet@imag.fr TIMA Labs GINP UJF CNRS VDS group Outline VSYML Vhdl Symbolic Simulator in ocaml: Symbolic

More information

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS Stephan Schulz Head of Heterogeneous System Specification Fraunhofer IIS/EAS About Fraunhofer Facts and figures Fraunhofer Association Fraunhofer

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Outline. evolution of the web IP addresses and URLs client/server and HTTP. HTML, XML, MathML MathML generated by Maple. the weather forecast

Outline. evolution of the web IP addresses and URLs client/server and HTTP. HTML, XML, MathML MathML generated by Maple. the weather forecast Outline 1 Internet Basics evolution of the web IP addresses and URLs client/server and HTTP 2 Markup Languages HTML, XML, MathML MathML generated by Maple 3 Retrieving Data the weather forecast 4 CGI Programming

More information

Computer-aided Calibration of IGBT SPICE Model with optislang

Computer-aided Calibration of IGBT SPICE Model with optislang Computer-aided Calibration of IGBT SPICE Model with optislang WOST 2018 2018-06-21 A. Biswas, M. Cotorogea, P. Türkes, F.J. Niedernostheide Infineon Technologies AG Special thanks: Rene Kallmeyer (Dynardo)

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Hardware Modeling. Hardware Description. ECS Group, TU Wien Hardware Modeling Hardware Description ECS Group, TU Wien Content of this course Hardware Specification Functional specification High Level Requirements Detailed Design Description Realisation Hardware

More information

DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI

DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI DOWNLOAD OR READ : VHDL FOR DIGITAL DESIGN VAHID SOLUTION BING PDF EBOOK EPUB MOBI Page 1 Page 2 vhdl for digital design vahid solution bing vhdl for digital design pdf vhdl for digital design vahid solution

More information

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM An Initiative by Industry Experts With Qualification from IITs and IISCs Address: NEOSCHIP TECHNOLOGIES 3rd Floor, Sai Durga Enclave, 1099/833-1,

More information

Rapid Prototyping with APICES

Rapid Prototyping with APICES Rapid Prototyping with APICES Ansgar Bredenfeld GMD Institute for System Design Technology D-53754 Sankt Augustin, Germany bredenfeld@gmd.de http://set.gmd.de/apices APICES is a tool for very rapid development

More information

GNU Radio Technical Update

GNU Radio Technical Update GNU Radio Technical Update Johnathan Corgan GRCON17 GRCON17 GNU GNU Radio Radio Technical Technical Update Update September September 2017 2017 Topics Release 3.8 Features and capabilities Milestones and

More information

Gigabit Fibre Channel B_Port Controller Core. 1 Introduction. Product Brief V1.0- September Overview. Optimized for Actel LAN WAN

Gigabit Fibre Channel B_Port Controller Core. 1 Introduction. Product Brief V1.0- September Overview. Optimized for Actel LAN WAN 1 Introduction 1.1 Overview The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is

More information

Finding Optimal Probabilistic Generators for XML Collections Serge Abiteboul, Yael Amsterdamer, Daniel Deutch, Tova Milo, Pierre Senellart

Finding Optimal Probabilistic Generators for XML Collections Serge Abiteboul, Yael Amsterdamer, Daniel Deutch, Tova Milo, Pierre Senellart Finding Optimal Probabilistic Generators for XML Collections Serge Abiteboul, Yael Amsterdamer, Daniel Deutch, Tova Milo, Pierre Senellart To appear in BDA 2011 Motivation Adding probabilities to an XML

More information

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng. EECS 32: Digital Logic Design Lecture 4 Ihab Amer, PhD, SMIEEE, P.Eng. What is a HDL? A high-level computer language that can describe digital systems in tetual form Two applications of HDL processing:

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

Top-Down Design of Mixed-Signal Circuits

Top-Down Design of Mixed-Signal Circuits Top-Down Design of Mixed-Signal Circuits Ken Kundert Cadence Design Systems San Jose, California, USA Abstract With mixed-signal designs becoming more complex and time-to-market windows shrinking, designers

More information

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology Arthur FREITAS Régis SANTONJA Accellera Systems Initiative 1 Outline Intro Pre-UVM, Module- Based Environment

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

Introduction to VHDL. Module #5 Digilent Inc. Course

Introduction to VHDL. Module #5 Digilent Inc. Course Introduction to VHDL Module #5 Digilent Inc. Course Background Availability of CAD tools in the early 70 s Picture-based schematic tools Text-based netlist tools Schematic tools dominated CAD through mid-1990

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Analog Verification Concepts: Industrial Deployment Case Studies

Analog Verification Concepts: Industrial Deployment Case Studies Analog Verification Concepts: Industrial Deployment Case Studies Frontiers in Analog CAD (FAC 2014) July, 9-10, 2014, Grenoble, France Peter Rotter, Infineon Technologies AG Agenda Analog Verification

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Abstract The Universal Verification Methodology (UVM) is becoming the dominant approach for the verification of large digital designs.

More information

Deploying Modelica Models into Multiple Simulation Environments

Deploying Modelica Models into Multiple Simulation Environments Deploying Modelica Models into Multiple Simulation Environments Yuri Chernukhin *, Maxim Polenov *, Chandrasekhar Vemulapally **, Eugene Solodovnik ***, H. Alan Mantooth **, Roger Dougal *** * Department

More information

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers Mukesh Ranjan, Amitava Bhaduri, Ranga Vemuri University of Cincinnati, Cincinnati, Ohio, USA. Wim Verhaegen,

More information

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved Analog Verification Ken Kundert Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved Designs They Are A Changin The Complexity of Design is Growing Rapidly Size > 100K transistors 2010

More information

Day 2: NetFPGA Cambridge Workshop Module Development and Testing

Day 2: NetFPGA Cambridge Workshop Module Development and Testing Day 2: NetFPGA Cambridge Workshop Module Development and Testing Presented by: Andrew W. Moore and David Miller (University of Cambridge) Martin Žádník (Brno University of Technology) Cambridge UK September

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information