Analog Verification Concepts: Industrial Deployment Case Studies

Size: px
Start display at page:

Download "Analog Verification Concepts: Industrial Deployment Case Studies"

Transcription

1 Analog Verification Concepts: Industrial Deployment Case Studies Frontiers in Analog CAD (FAC 2014) July, 9-10, 2014, Grenoble, France Peter Rotter, Infineon Technologies AG

2 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 2

3 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 3

4 Mixed-Signal Sign-Off and Regression Overview Product Requirements Over-All Verification Plan Verification Manager T T XML T Tracability Target Datasheet T Design Specs Open Access Design Data Mixed-Signal Regression Runner T Analog Regression Runner ADE-L Model Validation Layout Checks Layout Extraction Plotting ADE-XL T T T T I check & run check & run check & run check & run Interactive Setup & Modular Rerun I I I I I I I check & run check & run Analog Autom. Workflow: Task Sequence Page 4

5 Analog Verification - Context/Components/Coordination T DEV T IF Techfile Design Manual Dev. Handbook Sign-Off Guidelines Product Requ mts Product Spec Design Group PDK Design Flow Design Package Design Flow Compl nce Guidelines Setup Design Step 1 Design Step 2 Design Step Design Step N Consis ncy Guidelines Automation Data 1 Data 2 Data Data N Page 5

6 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 6

7 Trends in Analog Design Technology Rules increasing number and complexity for small technology nodes technology variants for specific purposes (automotive/industrial) CMOS Transistor Model Complexity increasing number of parameters (BSIM4, PSP, HiSIM-HV several hundred param s) Technology variations increasing for small technology nodes statistical methods required Regression Tooling increasing number and complexity of solutions Safety Requirements formal proofs of compliance required General (IEC-61508) Safety Integrity Level (SIL) Classification Automotive (ISO 26262) Aviation (DO-178/254) Railway (CENELEC 50126/128/129) Page 7

8 Two Worlds collide creative intuitive implicit holistic interactive Analog Design systematic formalized explicit detailed automated Management Page 8

9 (R)evolution of Industrial Verification Ecosystem Analog Verification creative intuitive implicit holistic interactive Analog Design Handshake systematic formalized explicit detailed automated Analog Verification Engineer Industrialized Work Split Page 9

10 Digital vs. Analog Verification Management Digital Mixed-Signal Analog ADE-XL Digital Reg. AMS Designer emanager NCSIM Verification Manager SystemC Questa Analog Reg. Spectre Ultrasim Mixed-Signal Reg. Titan Nanosim XA ADMS VCS-XA Page 10

11 Overall Verification Crossing Design Domains Digital Regression Requirement Engineering Analog Regression Functional Verification Mixed-Signal Spice Analog Regression Spice Mixed-Signal Vertical Verification Domain-Crossing Horizontal Verification Domain-Crossing Additional effort and complexity for design groups and CAD support Page 11

12 Some Open Issues Design Flow Automation support for transfer of Guidelines/Specifications to Design Steps Setup Interface for automation support of Design Group specific Guidelines Sign-Off Status Reporting General Examples for Manual Design Steps: Product Requirement to Block Level Specification break-down Process Analog Block-level Verification Definition & Setup Examples for Implicit Requirements: Check for design critical aspects not covered by technology models Implicit design constraints imposed by technology (topology selection) Analog Design is a complex problem requiring to cover an immense number of currently non-formalized aspects. Key for Successful Design: Designer Intuition Hence: Water-proof formalization via guidelines questionable! Page 12

13 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 13

14 Analog Verification - Integration Objectives Systematic Analog Sign-off Guidelines tooling for hierarchical requirement break-down, verification planning and execution completeness ensured via proper coverage measures setup support via pre-defined templates (Analog Verification IP) Formalized overview status reports hierarchical status feed-back proof of safety requirements compliance Explicit measurements & checkers specification ranges result evaluation Detailed break-down in list of individual tasks with dedicated objectives Automated capture existing interactive setups and push to automation (unified) regression runner for all verification domains specification checks automated generation of documentation Page 14

15 Analog Sign-Off Motivation Status Quo Systematic approach to ensure Analog Sign-Off Compliance generally requested Complete supply chain for tool, technology and library data provision considered Overall Goals Systematic, formalized approach to list, specify (short work instructions) and document Analog Sign-Off related Design Steps including Setups Ensure Analog Sign-Off compliant Design System provision Account for Technology Specific Flavors Deployment Guidelines should be used for a systematic planning and execution of all defined steps and thus help to ensure Analog Sign-Off compliance for all Analog-/Mixed-Signal Design for internal use Copyright Infineon Technologies AG All rights reserved. Page 15

16 Generic Scheme for Sign-Off Task Definition Concise scheme for descriptions of Analog Sign-Off Tasks: Sign-Off Task unique keyword Category ordering scheme Scope/Objective concise definition of purpose Application Model scenarios for check deployment Method/Tooling description of tool chain Result Evaluation guideline for result assessment Page 16

17 AMS Verification Planning & Sign-Off Product Requ mts Product Spec AMS Verification Planning Analog Mixed-Signal Digital Model Spec Model Verification Analog Reg. Mixed-Sig Reg. Voltage Drop Digital Reg. vmanager AMS Verification Sign-Off Page 17

18 Reliability Planning & Sign-Off Product Requ mts Product Spec Static Tasks Dynamic Tasks Reliability Planning Analog Mixed-Signal Digital Device Block Interface Metallization REL Model Spec REL Model Verif. SOAC Aging Sim. Static ERC Floating Gate REL DRC Curr. Density Dynamic ERC ESD Reliability Sign-Off Page 18

19 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 19

20 Basic Automation Concepts Setup Design Step 1 Design Step 2 Design Step Design Step N Interactive Execution Data Step 1 Data Step 2 Data Step Data Step N Task Module 1 Task Module 2 Task Module Task Module N Work Flow Definition Automation Platform Page 20

21 Analog Automation Workflow in Action Set Up Set Up Set Up Set Up Set Up Schematic Layout Layout Netlist Schematic Schematic Checks Log-File Layout Verification Log-File Layout Extraction Log-File Parasitic Reduction Log-File Analog Regression Log-File Plot Tool Log-File Task control Pass ok Automation Engine Out of date checking Workflow: Task Sequence Status Report Page 21

22 Mixed-Signal Sign-Off and Regression Strategy Pick-up Approach avoid additional setup effort as much as possible capture existing interactive setups and push to automation identify and build up stand-alone base applications (Analog Regression Runner, Model Validation) Modularity Task Modules as generic building blocks Task Sequences provided as pre-defined sets for common use cases Flexibility selection of individual Task Modules local modification/definition of Task Sequences address small sequence of tasks with highest automation benefit Transparency native and stand-alone application usage should not be blocked out strong focus on error checking and debugging Page 22

23 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 23

24 Reliability Planning & Sign-Off Product Requ mts Product Spec Static Tasks Dynamic Tasks Reliability Planning Analog Mixed-Signal Digital Device Block Interface Metallization REL Model Spec FOCUS SOAC Aging Sim. Dynamic ERC Static ERC Floating Gate ESD REL DRC Curr. Density REL Model Verif. Reliability Sign-Off Page 24

25 Electrical Device Stress I DS Electrical Device Stress during Operation t Operating Modes Important! Page 25

26 Safe Operating Area Checks (SOAC) Introduction For semiconductor devices the Safe Operating Area is defined as the voltage and current conditions over which the device can be expected to operate without self-damage. Checks can be defined for Spice transistor level simulations using tool specific features (assertions, special checks). The allowed voltage/current ranges are dynamically monitored during simulation runtime and warning/error messages are issued in case of violations. SOAC setups are technology dependent and need to be defined and provided with each Technology Package. SOAC can be costly with respect to memory consumption, runtime and license usage. Therefore the usage needs to be transparent and controllable by the designer. A unified interface and used model concept for all supported tools is very desirable. Page 26

27 SOAC Analog Sign-Off Definition Check Scope Device stress disaster check Application Model Use standard test scenarios for specification verification over temperature, process corner, supply modes, Functional tests for power on/off, sleep, wake-up, Note: Stress-scenario definition via stimulus important for check coverage Method/Tooling Include simulator specific Save Operating Area Checks (SOAC) files provided in Technology Package Run Spice simulation (Spectre, Titan, Fastmos, Mixed-Signal) Result Evaluation Check all messages in violation files via Cadence ADE browser or text based in log file (Message Type: Warning) Page 27

28 SOAC Context/Components/Coordination REL Design Manual Dev. Handbook T IF Techfile Guidelines Designer PDK Design Flow Design Package Design Flow Setup Warning Design Warning Warning Automation Warning Warning Page 28

29 SOAC Design Flow: Overview & Open Issues Primary Use Model Spice Level Tools (Manual) Spice: Spectre, Titan (IFX) FastSpice: XA, Nanosim, Ultrasim Mixed-Signal: AdvanceMS, AMS Designer Problems: non-standardized check definition: tool/vendor specific concepts check scenario definitions with reasonable coverage large number of dummy errors Secondary Use Model Regression Tooling (Automation) IFX Analog Regression Runner, ADE-XL IFX Mixed-Signal Regression Runner IFX Digital Regression Runner, vmanager Problems: non-standardized activation and result provision transparent result propagation: documentation/summary report missing waiver concept missing Design Flow Support Design Package interface defined Setup support for default SOAC settings where possible Unique result evaluation script (IFX) for all tools supporting primary use model Page 29

30 SOAC Open Issues: Focus Topic Usability Problem issue with large number of dummy errors Possible Solution Scenarios define checks with improved selectivity: simple technology rules need to be extended provide filtering/post-processing/sorting using some kind of severity criteria (e.g. duration factor: see next slide) enhance tool functionality by more efficient assessment features (back-annotation, violations overlay to waveforms, waiving methodologies) Page 30

31 SOAC Overshoot Suppression via duration I DS default t I DS t d > t s t d < t s Suppressed duration = t s t Page 31

32 Case Study 1: Safe Operating Area Checks Deployment Issues: CAD Setup: Non-standardized SOAC check definition, activation and especially result provision leads to high effort for overall integration Vertical Verification Domain-Crossing: Effort for check integration to regression environments Horizontal Verification Domain-Crossing: Analog Verification / Reliability Checks require different application scenarios; improved check selectivity requires cross-domain cooperation Implicit/Explicit: Converting design know-how to check scenarios with reasonable coverage based on use modes specified at verification planning Interactive/Automation: Automatic result evaluation in case of dummy errors impossible; waiving concepts currently missing Page 32

33 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 33

34 AMS Verification Planning & Sign-Off Product Requ mts Product Spec AMS Verification Planning Analog Mixed-Signal Digital FOCUS Model Spec Model Verification Analog Reg. Mixed-Sig Reg. Voltage Drop Digital Reg. vmanager AMS Verification Sign-Off Page 34

35 Analog Models Specification, Features & Verification Analog Model Specification o o o o interface definitions: list of terminals and power supply connections list of functional features list of limitations due to abstractions validity/application ranges Analog Model Features o o o o analog behavioral model real-value models, i.e. data types: analog_t, analog_t_vector UPF-support (IEEE.UPF VHDL-package application), get_supply for sink-power-connects, set_supply for supply sources (i.e. voltage regulator model) or equivalent (System-)Verilog library capability validity-/out-of-range checks and reporting (top-level requirement) Test Bench Features Two modes: o o behavioral mode without out-of-range stimulus for model consistency verification (this talk) behavioral mode incl. out-of-range stimulus for model feature verification Page 35

36 Model Consistency Concept General Overview Flow-Chart (Detailed) Input Description File : Analog Designer Input Requirement Functional Description Specification (min/max) Stimuli (VHDL+UPF) Analog Model (VHDL+UPF) Checker (VHDL+UPF) Test-Bench: (System)-Verilog: HW HW ßà FW TB: Verilog ßà Schematic VHDL-Codes: Im / Export Stimuli (VHDL+UPF) Config A: Analog Model (VHDL+UPF) Checker (VHDL+UPF) Regression (WOTAN) Pass/Fail Stimuli (VHDL+UPF) Analog Schematic (Transistor) Checker (VHDL) Pass/Fail Config B: Mixed-Signal-Simulation:.) Cadence Model Validater (amsdmv).) Same Test-Bench schematic.) 2 different config views Automatic Comparison Waveform Plot Pass/Fail Pass/Fail manually Page 36

37 Analog Model Development and Analog-centric Verification Flow-Chart (Detailed) Input Description File : Analog Designer HDL-driven Modeling For model development Digital test bench applied Input Requirement Functional Description Specification (min/max) Stimuli (VHDL+UPF) Analog Model (VHDL+UPF) Checker (VHDL+UPF) Test-Bench: (System)-Verilog: HW HW ßà FW TB: Verilog ßà Schematic VHDL-Codes: Im / Export Stimuli (VHDL+UPF) Config A: Stimuli (VHDL+UPF) Config B: Analog Model (VHDL+UPF) Analog Schematic (Transistor) Checker (VHDL+UPF) Checker (VHDL) Regression (WOTAN) Pass/Fail Pass/Fail Model Consistency Check Mixed signal simulation with two configurations (DUT as HDL-model/Spiceschematics) Test bench applied Result compare tool applied Regression (for multiple models) Mixed-Signal-Simulation:.) Cadence Model Validater (amsdmv).) Same Test-Bench schematic.) 2 different config views Automatic Comparison Waveform Plot Pass/Fail Pass/Fail manually Page 37

38 Waveform Comparison General Approaches Simulator internal - on-the-fly Measurements with specification limits Assertions (block-level self-checking) Vector files with expected outputs (VEC, EVCD format) Simulator external - post-processing Specifications (Analog Regression Runner, ADE-XL) Vector-valued Measurements (series of time points) Waveform (result data points) post-processing Signal Types DC, AC, TRAN, HB, Noise analog, digital Focus: time-domain (transient) simulations with varying time steps Page 38

39 Transient Comparison Algorithm Basic Ideas Boundaries via Scalar Values Curve Length Boundaries via Signals Tube Model Test Waveform Reference Waveform Tolerance Boundaries dy, dt Page 39

40 Automation of Analog Simulation and Waveform Compare Flow Cadence Solution amsdmv Page 40

41 Digital-centric Model Verification CAD Framework for Digital-centric Model Verification UVM (Standard Universal Verification Methodology) as basis IFX analog verification extensions A-UVM Design and Verification Conference, DVCON 2014 Automated Comparison of Analog Behavior in a UVM Environment, Sebastian Simon, Alexander E. Rath, Volkan Esen, and Wolfgang Ecker, Infineon Technologies AG A-UVM Features Analog transactions Constrained-random analog stimulus Monitoring of analog behavior Basic checks for comparing analog transactions Page 41

42 Automation of Digital Simulation and Waveform Compare Flow UVM Example Page 42

43 Digital Comparison Algorithm Basic Idea Metric: Earth Mover s Distance Approach to measure the distance between two distributions Visualization: transportation of soil from one pile to another = Work += distance x amount: A = i= 1 j= 1 Find minimum flow which equalizes distributions à optimization problem has to be solved n n d ij f ij Page 43

44 Automation of Digital Simulation and Waveform Compare Flow Integration Concept I Generic monitors extract analog transactions = feature vectors Extraction types: sampling, FOURIER, sine, ramp, jump Similarity analysis implemented with SystemVerilog DPI-C Page 44

45 Automation of Digital Simulation and Waveform Compare Flow Integration Concept II Analyzing one pair of transactions results in exactly one value s EM for the Earth Mover s Distance Range: 0 s EM 1 (where 1 implies a full match) Basic idea for regression: defining a lower bound for s EM Once s EM falls below this bound, the regression test fails and the regarding transactions can be examined Page 45

46 Analog-centric vs. Digital-centric Comparison of Model Verification Features Features Analog-centric Digital-centric Test Bench Compare Setup Debugging Regression Documentation schematic result data access manual stimulus generation load conditions waveform pre- and post-processing A/D, D/A conversion GUI based tolerance definitions messages in log file analog waveform viewer: automatic signal pair selection violation area reports and marker (visualization of tolerance boundaries) amsdmv Analog Regression Runner (each in Analog Automation Env.) automated via Regression detailed reporting of violations A-UVM (driver, monitor, score board) constrained random stimulus generation reuse from digital functional verification extraction type (sampling, Fourier, ) extraction parameter (sampling rates) lower bound for metric messages in log file digital waveform viewer: manual signal pair selection digital signal check via SimVision UVM in Digital Regression Runner vmanager automated via UVM/Regression Page 46

47 Analog-centric vs. Digital-centric Comparison of Use Models Flow-Chart (Detailed) Input Description File : Analog Designer Input Requirement Functional Description Specification (min/max) Efforts for Digital-centric Model Verification: Full reuse potential of existing digital test bench No transfer to full-custom Design Flow required No additional analog test bench setup (digital test bench reuse and substitution of HDL by Spiceschematics for mixed-signal configuration) Support for UPF enabled by default in HDL-driven approach Stimuli (VHDL+UPF) Analog Model (VHDL+UPF) Checker (VHDL+UPF) Test-Bench: (System)-Verilog: HW HW ßà FW TB: Verilog ßà Schematic VHDL-Codes: Im / Export Stimuli (VHDL+UPF) Config A: Stimuli (VHDL+UPF) Config B: Analog Model (VHDL+UPF) Analog Schematic (Transistor) Mixed-Signal-Simulation:.) Cadence Model Validater (amsdmv).) Same Test-Bench schematic.) 2 different config views Checker (VHDL+UPF) Checker (VHDL) Automatic Comparison Waveform Plot Regression (WOTAN) Pass/Fail Pass/Fail Pass/Fail Pass/Fail manually Efforts for Analog-centric Model Verification: Requires semi-custom to full-custom Design Flow transfer of all HDL-elements Requires to setup a second simulation in analog environment (analog test bench schematics) No UPF support (manual and expert-level effort required for UPF-enabling) Page 47

48 Case Study 2: Analog Model Validation Deployment Issues: Vertical Verification Domain-Crossing: Integration of model validation into different regression environments lead to different use models Horizontal Verification Domain-Crossing: Analog-centric / Digital-centric Model Verification requires different domain know-how Implicit/Explicit: Converting design block know-how to model specification and check scenarios with reasonable coverage based on validity/application ranges specified in verification planning Interactive/Automation: Validation acceptance criteria need to be defined explicitly; additional effort for out-of-range checks Page 48

49 Agenda Analog Verification - Context/Components/Coordination (R)evolution of Industrial Verification Ecosystem Analog Verification Planning & Sign-Off Requirements on Verification Software & Integration Case Study 1: Safe Operating Area Checks Case Study 2: Analog Model Validation Summary & Final Thoughts Page 49

50 Summary & Final Thoughts Analog Verification Coverage definitions/measures currently missing design/topology/check specific Formalization binary good/bad decisions not compliant with analog designer reasoning trade-offs and margins usually not covered (but: compare Worst-case Distance Measure for Yield Estimation) converting implicit to explicit knowledge often tricky (compare topology recognition for automatic constraint setup in tool Wicked, MunEDA) Page 50

51

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Equivalence Validation of Analog Behavioral Models

Equivalence Validation of Analog Behavioral Models Equivalence Validation of Analog Behavioral Models Hardik Parekh *, Manish Kumar Karna *, Mohit Jain*, Atul Pandey +, Sandeep Mittal ++ * ST MICROELECTRONICS PVT. LTD., GREATER NOIDA,INDIA { HARDIK.PAREKH,

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

Incisive Enterprise Verifier

Incisive Enterprise Verifier Integrated formal analysis and simulation engines for faster verification closure With dual power from integrated formal analysis and simulation engines, Cadence Incisive Enterprise Verifier allows designers,

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

ISO Tool Confidence Level (TCL)

ISO Tool Confidence Level (TCL) ISO 26262 Tool Confidence Level (TCL) John Brennan, Product Management Director, SVG Steve Lewis, Product Management Group Director, CPG Rob Knoth, Product Management Director, DSG Randal Childers, Director,

More information

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

ISO26262 This Changes Everything!

ISO26262 This Changes Everything! Subset of material used at this year s DVCon Europe ISO26262 This Changes Everything! John Brennan, Viktor Preis Cadence Design Systems, Inc. Accellera Systems Initiative 1 Four disruptive trends in Automotive

More information

Compatible Qualification Metrics for Formal Property Checking

Compatible Qualification Metrics for Formal Property Checking Munich - November 18, 2013 Formal Property Checking Senior Staff Engineer Verification Infineon Technologies Page 1 Overview Motivation Goals Qualification Approaches Onespin s Coverage Feature Certitude

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation by Tao Jia, HDL Verifier Development Lead, and Jack Erickson, HDL Product Marketing

More information

UVM in System C based verification

UVM in System C based verification April, 2016 Test Experiences and Verification of implementing Solutions UVM in System C based verification Delivering Tailored Solutions for Hardware Verification and Software Testing EMPLOYEES TVS - Global

More information

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys Universal Verification Methodology (UVM)-based Random Verification through VCS and CustomSim in Analog Mixed-signal Designs for Faster Coverage Closure Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Ensuring System Integrity through Advanced System Software Verification

Ensuring System Integrity through Advanced System Software Verification Mike Bartley, TVS Ensuring System Integrity through Advanced System Software Verification Test and Verification Solutions Helping companies develop products that are: Reliable, Safe and Secure Our Opportunities

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series Design Verification An Introduction Main References Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series A Roadmap

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop SmartSpice Analog Circuit Simulator Product Update Yokohama, June 2004 Workshop Agenda SmartSpice Products SmartSpice General Features SmartSpice New GUI SmartSpice New features Supported Models and Modeling

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Verification Planning with Questa Verification Management

Verification Planning with Questa Verification Management Verification Planning with Questa Verification Management by Kishan Kalavadiya and Bhavinkumar Rajubhai Patel, einfochips Verification of complex SoC (System on Chip) requires tracking of all low level

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Simulation with Verilog-XL

Simulation with Verilog-XL Simulation with Verilog-XL Adapted from Princeton Cadence Page (http://www.ee.princeton.edu/~cadence/usr/verilog.html) Until now, we have been using the Analog Environment to do simulations. This simulator

More information

Digital System Design

Digital System Design Digital System Design Analog time varying signals that can take on any value across a continuous range of voltage, current or other metric Digital signals are modeled with two states, 0 or 1 underneath

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Laurent Lemaitre (F)

Laurent Lemaitre   (F) Laurent Lemaitre www.noovela.com (F) General considerations about compact modeling a need for standardization Introduce compact modeling and SPICE3 kit SPICE3 kit using C language SPICE3 kit using Verilog-AMS

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs Sven Beyer, OneSpin Solutions, Munich, Germany, sven.beyer@onespin-solutions.com Dominik Straßer, OneSpin Solutions, Munich,

More information

Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM

Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM Vijay Mukund Srivastav 1,Anupam Maurya 2, Prabhat Kumar 3, Juhi 4, VerifLabs 1,2, VerifWorks 3, Vecima

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling

Compact Modeling for PV and Aging Effects. Correlated PV and Aging corner models. ESE MOS-AK Rome. a leap ahead. in Compact Modeling Compact Modeling for PV and Aging Effects Correlated PV and Aging corner models ESE MOS-AK Rome a leap ahead in Compact Modeling This work is funded by: Granted Medea+ Project Motivation General constraint

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Building a complex signal processing function requires a deep understanding of the signal characteristics

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Mind Q Systems Private Limited

Mind Q Systems Private Limited Software Testing Tools Course Content for Online Training Manual Testing Introduction Introduction to software Testing Software Development Process Project Vs Product Objectives of Testing Testing Principals

More information

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture 01 Introduction Welcome to the course on Hardware

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

Software Testing Tools

Software Testing Tools Software Testing Tools Manual Testing Introduction Introduction to software Testing Software Development Process Project Vs Product Objectives of Testing Testing Principals Software Development Life Cycle

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

SystemC-to-Layout ASIC Flow Walkthrough

SystemC-to-Layout ASIC Flow Walkthrough SystemC-to-Layout ASIC Flow Walkthrough 20.6.2015 Running the Demo You can execute the flow automatically by executing the csh shell script: csh run_asic_demo.csh The script runs all tools in a sequence.

More information

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE MALLIKARJUNA REDDY. Y, TEST AND VERIFICATION SOLUTIONS K.VENKATRAMANARAO, MINDLANCE TECHNOLOGIES AGENDA Analog Modeling Vs Real Number Modeling

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Lecture 6. Tutorial on Cadence

Lecture 6. Tutorial on Cadence Lecture 6. Tutorial on Cadence Virtuoso Schematic Editor Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org Schematic Editor Schematic editor (e.g. Cadence Virtuoso)

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Cycle Based Simulation. Design Techniques

Cycle Based Simulation. Design Techniques Cycle Based Simulation Design Techniques Code Coverage / Cycle Based Simulation / Property Checking - ABV RTL Verification 2 RTL Verification Acceleration Emulation Circuit Validation Testbench Code Coverage

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation

New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation New technological opportunities coming along with SystemC/SystemC AMS for AMS IP Handling and Simulation Karsten Einwich, Thilo Vörtler, Thomas Arndt Fraunhofer IIS/EAS Outline n Introduction n SystemC

More information

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation Design Verification 1 Design Process Design : specify and enter the design intent Verify: verify the correctness of design and implementation Implement: refine the design through all phases Kurt Keutzer

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Verification of Power Management Protocols through Abstract Functional Modeling

Verification of Power Management Protocols through Abstract Functional Modeling Verification of Power Management Protocols through Abstract Functional Modeling G. Kamhi, T. Levy, Niranjan M, M. Mhameed, H. Rawlani, R. B. Rajput, E. Singerman, V. Vedula, Y. Zbar Motivation Microprocessor

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

CAD Technology of the SX-9

CAD Technology of the SX-9 KONNO Yoshihiro, IKAWA Yasuhiro, SAWANO Tomoki KANAMARU Keisuke, ONO Koki, KUMAZAKI Masahito Abstract This paper outlines the design techniques and CAD technology used with the SX-9. The LSI and package

More information

Techno Expert Solutions An institute for specialized studies! Introduction to Advance QTP course Content

Techno Expert Solutions An institute for specialized studies! Introduction to Advance QTP course Content Introduction to Advance QTP course Content NTRODUCTION TO AUTOMATION Automation Testing Benefits of Automation Testing Automation Testing Vs Manual Testing Automation Test Tools Tool selection criteria

More information

Formal Verification for safety critical requirements From Unit-Test to HIL

Formal Verification for safety critical requirements From Unit-Test to HIL Formal Verification for safety critical requirements From Unit-Test to HIL Markus Gros Director Product Sales Europe & North America BTC Embedded Systems AG Berlin, Germany markus.gros@btc-es.de Hans Jürgen

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics

Mastering Unexpected Situations Safely. Chassis & Safety Vehicle Dynamics Mastering Unexpected Situations Safely Chassis & Safety Vehicle Dynamics System Evaluation of UVM-SystemC Coside Usergroup Meeting 18.10.2016 www.continental-corporation.com Division Chassis & Safety Agenda

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

Formal Contribution towards Coverage Closure. Deepak Pant May 2013 Formal Contribution towards Coverage Closure Deepak Pant May 2013 Agenda 1. Incisive Metric Driven Verification 2. Coverage Unreachability App 3. Enriched Metrics Formal Contribution to MDV 4. Summary

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS

SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS SYSTEMC AMS ARCHITECTURE EXPLORATION FOR MIXED SIGNAL SYSTEMS Stephan Schulz Head of Heterogeneous System Specification Fraunhofer IIS/EAS About Fraunhofer Facts and figures Fraunhofer Association Fraunhofer

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information