Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers

Size: px
Start display at page:

Download "Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers"

Transcription

1 Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers Mukesh Ranjan, Amitava Bhaduri, Ranga Vemuri University of Cincinnati, Cincinnati, Ohio, USA. Wim Verhaegen, Georges Gielen Katholieke Universiteit Leuven, Leuven, Belgium. Bhaskar Mukherjee, Andrea Pacelli State University of New York, Stony Brook, USA.

2 Outline Introduction & Motivation Proposed Circuit Synthesis Approach Layout Generation & Extraction Post-Processing Symbolic Performance Modeling Inclusion of Layout Effects Experimental Results Conclusions 2

3 Analog/RF Circuit Synthesis Circuit Topology Search Range for each circuit parameter Cost Function Optimization Engine Algos : Simulated Annealing, Genetic Algorithms etc. Sizes : Values of circuit parameters, like W, L, R, C etc. Optimization Engine Cost Cost Function Evaluator Numerical Simulator : Berkeley SPICE, HSpice, Spectre, Eldo, variants of Spice etc. Sizes Performance Estimates Control Block : Different Analyses, like, DC, AC, Transient, Distortion, Noise etc. Numerical Simulator Simulation Results Performance Evaluator Performance Models : Methods to use simulation results & generate numerical values of performance parameters Control Block Performance Models Performance Estimates : Circuit characteristics like, S-parameters, CMRR, Open-loop Gain, Phase Margin etc. HD2, HD3, IM3, IIP3 3

4 Motivation Traditional Circuit Synthesis Computationally expensive performance estimation due to numerical simulator Parasitics unaware / partially aware, leads to failure of synthesized circuit after layout. Our method Performance estimation using Symbolic Performance Models (SPMs). Layout-Inclusion in Synthesis Loop using Module Specification Language (MSL). Quasi-static extraction of on-chip inductances and interconnects. Rule based extraction of active devices and parasitic capacitances. 4

5 Proposed Circuit Synthesis Approach

6 Definitions Layout-Inclusive Synthesis Layout generation & extraction done in synthesis loop Also known as Layout-in-Loop approach Symbolic Performance Models Symbolic equations in terms of circuit parameters Built using transfer functions obtained by symbolic analysis. Represent the characteristics of analog circuit SPMs used for repetitive performance estimation 6

7 Proposed Approach Optimization Engine SPM Evaluation Layout Instantiation Numerical Analysis 3-Way Extraction Post- Processing MSL System SPM Generation 7

8 Layout Generation and Extraction

9 Layout Generation: MSL System Parameterized Module Library (specified in MSL) New Module Definition (specified in the Module Specification Language) MSL Compilation & Code Generation New Parameterized Layout (as editable C++ code) Layout Editor Adaptation & Compilation Parameterized Layout Generator Routing Layer Map Layout Elaboration Parameter Values Physical Layout 9

10 Illustration of 3-Way Extraction d1 d2 g1 b1 g2 b2 s1 Extraction 1 s2 d3 n2 n4 g3 s3 b3 n1 s2 n5 r1 vdd r2 g1 s1 d1 b1 g2 d2 b2 s2 n2 n4 gnd Extraction 2 n1 n5 d3 g3 b3 s3 Merged netlist Extraction 3 gnd 10

11 Post-Processing of Extracted Netlist

12 Netlist Sorting & Compaction Netlist extracted by VPEC VRL segments for each net-segment & via. Nets jumbled up, no definite order for extracted segments. Need to be arranged according to nets for proper extraction of parasitic values Explosion in number of circuit. Creates a problem due to size limitation on symbolic analysis. The more the number of segment more difficult it is to create a general inclusion model. Voltages sources unwanted; hence removed. Several elements in series merged Power nodes & terminal nodes of modules are preserved. Stored as graph for easy generation of extracted netlist and correct extraction of parasitic values for plugging into the SPMs 12

13 Symbolic Performance Modeling

14 Framework Input, Output & Power Nodes Generic Parasitic Models Inclusive Circuit Topology Small-Signal Models Combination of Nodes Node Information Generator Expansion Module Expanded Circuit List of Performance Parameters Symbolic Model Builder Symbolic Analysis Engine Performance Models Compilation Symbolic Transfer Functions Compiled Library of Symbolic Performance Models 14

15 Symbolic Analysis Core of SPM generation is Symbolic Analysis Symbolic Analysis: Formal technique to obtain transfer functions. Transfer Function in term of: symbolic circuit parameters independent variables like frequency Element Coefficient Diagrams (ECDs) Represent the transfer functions. Fast to evaluate Easy to store in the s-polynomial format Require low memory No approximation 15

16 Element Coefficient Diagrams Evaluate ECD (SSV) v1 = 1; v6_0 = -1*v1; v10_0 = 1*v6_0; v9_0 = -SSV.gm_m1 * v10_0; v8_0 = 1*v9_0; v9_1 = SSV.cgd_m1 * v10_0; v8_1 = 1*v9_1; v5_0 = 1*v6_0; v4_0 = -SSV.g_rds_m1*v5_0 + -SSV.g_rd *v5_0; v3_0 = -1*v4_0; v4_1 = -SSV.cgd m1*v5_0 + -SSV.cbd m1*v5_0; v3_1 = -1*v4_1; numer_0 = - input * v8_0; numer_1 = - input * v8_1; denom_0 = 1*v3 0; denom_1 = 1*v3 1; end Evaluate ECD Compiled denom numer denom_0 denom_1 numer_0 numer_1 v3 1-1 v8 - input 1 v3_0 1-1 v3_1 1-1 v8_0 input 1 v8_1 input 1 v4 -g_rds_m1 -s*cgd_m1 -s*cbd_m1 g_rd v3 v9 -g_m1 s*cgd_m1 v10 -g_rds_m1 v4_0 -g_rd -cgd_m1 v5_0 v4_1 -cbd_m1 v9_0 -g_m1 v10_0 v9_1 cgd_m1 Determinant Decision Diagram (DDD) -1-1 v6 v1 1 Element- Coefficient Diagram (ECD) -1-1 v6_0 v1 1 16

17 SPM for Noise Figure Similar to technique proposed by Tan et. al. Noise Figure = Total Output Noise/ Part of the Output Noise due to the Source resistance All noise sources are independent of each other Total output noise is the sum of noise at the output from each individual noise source Each noise source considered as sinusoidal current generator with rms value equal to rms value of noise current source Contribution of each noise source obtained as a transfer function and then combined. 17

18 SPM for Distortion Modules Volterra Series based method proposed by Wambacq et. al. Non-Linear Circuit elements described as a truncated Taylor Series Circuit is decomposed into a number of circuits, each of which model the behavior at a frequency which is a linear combination of input frequencies. Each non-linearity is modeled by a non-linear current source and a linearized element Linear Transfer functions are generated for each circuit and stored as ECDs SPM is a function which combines the linear transfer functions and non-linearity coeffcients 18

19 Inclusion of Layout Effects

20 Why Include Layout Effects in SPMs? Circuit topology input to SPM generator modified to include layouts effects. Sizes Layout Instantiation Performance Parameters Symbolic Performance Evaluator Compiled Symbolic Performance Models Symbolic Performance Model Generator Physical Layout Extraction Parasites Inclusive Netlist Parasitic Values a) Set of parasites may vary between iterations b) Number of fingers in a transistor may vary between iterations. SPMs should capture these variations, i.e., should be valid for entire design space 20

21 VPEC: Extraction Technique A1 A1 A2 Vertical & horizontal segment connector (V,L) A2 The interconnect structures are of small electrical length, hence in the frequency range of 1-4 GHz, PEEC model is considered. 21

22 Illustration of Parasitic Inclusion Five possible cases for a 3-Terminal net and its complete parasitic model in the center, which is included in the circuit topology used to generate the SPMs. Based on good channel routing & complete extraction of PEEC Model 22

23 Experimental Results 23

24 Benchmark Setup vdd M0.W = M1.W; Enables merging drain of M0 with source of M1; Reduces internal noise of cascode transistor M1 & facilitates input matching. Vdd vdd! V0 + Vdc=3.3V gnd c=10pf C1 r=50 PORT0 R1 R0 M2 L1 L2 M0 M1. L0 C0 r=50 PORT1 M0.W = 0.1 * M1.W (M0 forms a current mirror with M1); Minimizes power consumption in bias circuit. Large input blocking capacitance is off-chip; Fixed at 10pF. SA-based optimizer explores search space for design variables: M1.W, L0, L1, L2, R0, R1 and C0. TSMC 0.18µ technology; All simulations run on SunBlade1000 with 2GB RAM. 24

25 Synthesis Results & Accuracy 20 Synthesis Results & Accuracy Value (db) Gain NF H2 H3 Attributes Constraints Obtained Verified Attribute Constraints Obtained Verified Error Gain > % NF > % H2 < H3 < Frequency = 2.1 GHz 25

26 Time Results Time (seconds) SPM Generation Time ECD Gen. ECD Compile Misc Total SPM Gen Total Number of ECDs = 49 A0= 1 NF = 8 H2 = 9 H3 = 31 Per Iteration Time Time (seconds) Layout Gen. Layout Ext. Perf. Estimation Total Iteration Total Synthesis Time ~13 hours # of Iterations ~

27 Conclusions 27

28 Contributions Conclusions Use of SPMs in Layout-Inclusive RF LNA Synthesis Compilation of ECDs for faster evaluation Use of MSL for layout generation and evaluation Parasitic Inclusion techniques for high frequency effects Future Work Development of SPMs for Intermodulation Model equations for non-linearity coefficients 28

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

Analysis and Design Optimisation of Electronic Circuits using Oscad and OpenModelica

Analysis and Design Optimisation of Electronic Circuits using Oscad and OpenModelica Analysis and Design Optimisation of Electronic Circuits using Oscad and OpenModelica OpenModelica Annual Workshop 2015 Rakhi R and Kannan M. Moudgalya Indian Institute of Technology Bombay, India February

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

SYMBOLIC EXTRACTION FOR ESTIMATING ANALOG LAYOUT PARASITICS IN LAYOUT-AWARE SYNTHESIS

SYMBOLIC EXTRACTION FOR ESTIMATING ANALOG LAYOUT PARASITICS IN LAYOUT-AWARE SYNTHESIS SYMBOLIC EXTRACTION FOR ESTIMATING ANALOG LAYOUT PARASITICS IN LAYOUT-AWARE SYNTHESIS I. TSENG, A. POSTULA, AND L. JÓ WIAK THE UNIVERSITY OF QUEENSLAND, AUSTRALIA EINDHOVEN UNIVERSITY OF TECHNOLOGY, THE

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Prof. D. Zhou UT Dallas. Analog Circuits Design Automation 1

Prof. D. Zhou UT Dallas. Analog Circuits Design Automation 1 Prof. D. Zhou UT Dallas Analog Circuits Design Automation 1 General description Design automation of analog circuits has been an active research area in the past few decades. Conventional analog circuit

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation Make the layout window active and select Calibre > Run PEX from the top menu bar to start a Parasitic EXtraction. You will need

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

AT-31011, AT Low Current, High Performance NPN Silicon Bipolar Transistor. Data Sheet. Description

AT-31011, AT Low Current, High Performance NPN Silicon Bipolar Transistor. Data Sheet. Description AT-3111, AT-3133 Low Current, High Performance NPN Silicon Bipolar Transistor Data Sheet Description Avago s AT-3111 and AT-3133 are high performance NPN bipolar transistors that have been optimized for

More information

Performance-Preserved Analog Routing Methodology via Wire Load Reduction

Performance-Preserved Analog Routing Methodology via Wire Load Reduction Electronic Design Automation Laboratory (EDA LAB) Performance-Preserved Analog Routing Methodology via Wire Load Reduction Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen 2 Dept. of Electrical

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

3.3 V, SILICON GERMANIUM MMIC WIDE BAND AMPLIFIER

3.3 V, SILICON GERMANIUM MMIC WIDE BAND AMPLIFIER DESCRIPTION BIPOLAR ANALOG INTEGRATED CIRCUIT PC3242TB 3.3 V, SILICON GERMANIUM MMIC WIDE BAND AMPLIFIER The PC3242TB is a silicon germanium monolithic integrated circuit designed as IF amplifier for DBS

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Parameter Sweep. Description. Setup. Parameters. Modified by on 13-Sep-2017

Parameter Sweep. Description. Setup. Parameters. Modified by on 13-Sep-2017 Parameter Sweep Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Description The Parameter Sweep feature allows you to sweep the value of a device in defined increments, over a specified

More information

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Retargeting Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, and C-J. Richard Shi Department of

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Lecture 9: Ultra-Fast Design of Ring Oscillator

Lecture 9: Ultra-Fast Design of Ring Oscillator Lecture 9: Ultra-Fast Design of Ring Oscillator CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

Optimization of Phase- Locked Loop Circuits via Geometric Programming

Optimization of Phase- Locked Loop Circuits via Geometric Programming Optimization of Phase- Locked Loop Circuits via Geometric Programming D. Colleran, C. Portmann, A. Hassibi, C. Crusius, S. S. Mohan, S. Boyd, T. H. Lee, and M. Hershenson Outline Motivation Geometric programming

More information

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer

More information

DM9051NP Layout Guide

DM9051NP Layout Guide NP Version: 1.1 Technical Reference Manual Davicom Semiconductor, Inc Version: NP-LG-V11 1 1. Placement, Signal and Trace Routing Place the 10/100M magnetic as close as possible to the (no more than 20mm)

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Application Note Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Abstract: An original parameters extraction strategy has been developed using a physical and scalable 2π equivalent

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2)

DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2) DesignCon 2005 Track 5: Chip and Board Interconnect Design (5-TA2) Connector-Less Probing: Electrical and Mechanical Advantages Authors/Presenters: Brock LaMeres, Agilent Technologies Brent Holcombe, Agilent

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

Low Current, High Performance NPN Silicon Bipolar Transistor. Technical Data AT AT-32033

Low Current, High Performance NPN Silicon Bipolar Transistor. Technical Data AT AT-32033 Low Current, High Performance NPN Silicon Bipolar Transistor Technical Data AT-311 AT-333 Features High Performance Bipolar Transistor Optimized for Low Current, Low Voltage Operation 9 MHz Performance:

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012.

CBC performance with switched capacitor DC-DC converter. Mark Raymond, Tracker Upgrade Power Working Group, February 2012. CBC performance with switched capacitor DC-DC converter Mark Raymond, Tracker Upgrade Power Working Group, February 212. 1 CBC power features 2 powering features included on CBC prototype pads for test

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor QUEST 3D RLCG Extraction Depending on Frequency RF Structures Parasitic Extractor Introduction Type of Simulation Inputs / Outputs Graphical Interface Technology Process Layout Field Solver Output DOE

More information

GRF2505. Linear PA Driver/Ultra-low Noise Amplifier; GHz. Features. Applications. Preliminary. Product Description. Functional Block Diagram

GRF2505. Linear PA Driver/Ultra-low Noise Amplifier; GHz. Features. Applications. Preliminary. Product Description. Functional Block Diagram Linear PA Driver/Ultra-low Noise Amplifier; 4.0-6.0 GHz Package: 6-Pin DFN Product Description Features Broadband: 4.0 GHz to 6.0 GHz 0.80 db Noise Figure at 5.5 GHz 13.2 db gain, +33 dbm OIP3 and +20.5

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Active Device Generation for Automatic Analog Layout Retargeting Tool

Active Device Generation for Automatic Analog Layout Retargeting Tool Active Device Generation for Automatic Analog Layout Retargeting Tool Roy Hartono, Nuttorn Jangkrajarng, Sambuddha Bhattacharya, and C.-J. Richard Shi {rhartono, njangkra, sbb, cjshi}@ee.washington.edu

More information

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus EM Analysis of High Frequency Printed Circuit Boards Dr.-Ing. Volker Mühlhaus volker@muehlhaus.com Agenda EM tools overview When to use EM analysis Application examples: Filters The importance of meshing

More information

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design Chao-Hung Lu Department of Electrical Engineering National Central University Taoyuan, Taiwan, R.O.C. Email:

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A 2004 IEEE Behavioral Modeling and Simulation Conference (BMAS2004) Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A Geoffrey Coram Analog Devices, Inc. athe World Leader in High Performance

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor Row-based Design Methodologies To Compensate Variability For Energy- Efficient Systems Micrel lab, DEIS, University of Bologna Mohammad Reza Kakoee PhD Student m.kakoee@unibo.it it Luca Benini Advisor

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

G IP3=38. P1dB= Single. Units

G IP3=38. P1dB= Single. Units Product Description SG0 SG0 is a high performance InGaP HBT amplifier utilizing a Darlington configuration with an active bias network. The active bias network provides stable current over temperature

More information

A Transistor-Level Placement Tool for Asynchronous Circuits

A Transistor-Level Placement Tool for Asynchronous Circuits A Transistor-Level Placement Tool for Asynchronous Circuits M Salehi, H Pedram, M Saheb Zamani, M Naderi, N Araghi Department of Computer Engineering, Amirkabir University of Technology 424, Hafez Ave,

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology 2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 Brief Papers Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Student Member, IEEE, and Behzad Razavi, Fellow,

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Unified Generation of Analog Sizing and Placement Constraints

Unified Generation of Analog Sizing and Placement Constraints Unified Generation of Analog Sizing and Placement Constraints Michael Eick and Helmut Graeb Institute for Prof. Dr.-Ing. Ulf Schlichtmann Overview Analog constraints Unified constraint generation flow

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1] Area Array Probe Card Interposer Raphael Robertazzi IBM Research 6/4/01 6/4/01 IBM RESEARCH Page [1] Motivation: Outline Probe Cards for Testing Complex ICs in the Developmental Stage. Hand Wired Space

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Features. Description. Applications

Features. Description. Applications Description Features The electronic loads of the ZSAC series are optimized for the practical use in laboratories, manufacturing and quality control. Power: Voltage: Current: 400W... 12600W 260V... 440V

More information

TS04. 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2.0

TS04. 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2.0 TS4 4-Channel Self Calibration Capacitive Touch Sensor SPECIFICATION V2. Specification TS4 (4-CH Auto Sensitivity Calibration Capacitive Touch Sensor). General Feature 4-Channel capacitive sensor with

More information

RC Extraction. of an Inverter Circuit

RC Extraction. of an Inverter Circuit RC Extraction of an Inverter Circuit Santa Clara University Department of Electrical Engineering Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: February 1, 2010 Copyright

More information

Design of a Two Stage CMOS Operational Amplifier using 180nm and 90nm Technology

Design of a Two Stage CMOS Operational Amplifier using 180nm and 90nm Technology Design of a Two Stage CMOS Operational Amplifier using 180nm and 90nm Technology Kanika Sharma 1, Rahil Kumar 2 PG Student [VLSI&ES], Dept. of ECE, Manav Rachna International University, Faridabad, Haryana,

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

EM8D-100L EMI FILTER/TVS ARRAY DESCRIPTION DFN-16 PACKAGE FEATURES APPLICATIONS MECHANICAL CHARACTERISTICS CIRCUIT DIAGRAM & PIN CONFIGURATION

EM8D-100L EMI FILTER/TVS ARRAY DESCRIPTION DFN-16 PACKAGE FEATURES APPLICATIONS MECHANICAL CHARACTERISTICS CIRCUIT DIAGRAM & PIN CONFIGURATION EMI FILTER/TVS ARRAY DESCRIPTION The is a DFN-16, 8 line low pass filter array with integrated TVS diodes. The is designed to suppress unwanted EMI/RFI signals and provide ESD protection for high-speed

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Andrew Cole VP, Silicon Creations Chris Clee Product Marketing Manager, Calibre Parasitic Extraction Products Agenda:

More information

SCHEMATIC PCELLS, FUTURE OF DEEP SUBMICRON CUSTOM IC DESIGN. PRANAV BHUSHAN CADENCE DESIGN SYSTEMS INC

SCHEMATIC PCELLS, FUTURE OF DEEP SUBMICRON CUSTOM IC DESIGN. PRANAV BHUSHAN CADENCE DESIGN SYSTEMS INC SCHEMATIC PCELLS, FUTURE OF DEEP SUBMICRON CUSTOM IC DESIGN PRANAV BHUSHAN CADENCE DESIGN SYSTEMS INC. +91-120-2562842 pbhushan@cadence.com RAJ ARUMUGAM QUALCOMM INC. +1-858-845-7877 rarumuga@qualcomm.com

More information

Hierarchical Exact Symbolic Analysis of Large Analog Integrated Circuits By Symbolic Stamps

Hierarchical Exact Symbolic Analysis of Large Analog Integrated Circuits By Symbolic Stamps Hierarchical Exact Symbolic Analysis of Large Analog Integrated ircuits By Symbolic Stamps Hui Xu, Guoyong Shi and Xiaopeng Li School of Microelectronics, Shanghai Jiao Tong Univ. Shanghai, hina ontents

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

+14dBm to +20dBm LO Buffers/Splitters with ±1dB Variation

+14dBm to +20dBm LO Buffers/Splitters with ±1dB Variation -24; Rev 2; 3/4 +dbm to +dbm LO Buffers/Splitters General Description The MAX9987 and MAX9988 LO buffers/splitters each integrate a passive two-way power splitter with highisolation input and output buffer

More information

A Transistor-level Symmetrical Layout Generation for Analog Device

A Transistor-level Symmetrical Layout Generation for Analog Device R2-21 SASIMI 2012 Proceedings A Transistor-level Symmetrical Layout Generation for Analog Device Bo Yang, Qing Dong, Jing Li, Shigetoshi Nakatake Department of Information and Media Engineering, The University

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

GRF5110. Preliminary dbm Power-LNA Tuning Range: GHz. Product Description

GRF5110. Preliminary dbm Power-LNA Tuning Range: GHz. Product Description Preliminary Product Description is a high linearity PA /Linear Driver with low noise figure (NF). It delivers excellent P1dB, IP3 and NF over a wide range of frequencies with fractional bandwidths of roughly

More information

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

COMPACT DEVICE MODELING USING VERILOG-AMS AND ADMS

COMPACT DEVICE MODELING USING VERILOG-AMS AND ADMS COMPACT DEVICE MODELING USING VERILOG-AMS AND ADMS L. LEMAITRE 1, W. GRABIŃSKI 1, C. MCANDREW 2 1 Motorola, Geneva Modeling Center, 207 route de Ferney, CH-1218 Le Grand Saconnex, Switzerland. 2 Motorola,

More information

1 GHz wideband low-noise amplifier with bypass. The LNA is housed in a 6-pin SOT363 plastic SMD package.

1 GHz wideband low-noise amplifier with bypass. The LNA is housed in a 6-pin SOT363 plastic SMD package. Rev. 2 14 September 2010 Product data sheet 1. Product profile 1.1 General description The MMIC is a wideband amplifier with. It is designed specifically for high linearity, low-noise applications over

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Key Challenges in High-End Server Interconnects. Hubert Harrer

Key Challenges in High-End Server Interconnects. Hubert Harrer Key Challenges in High-End Server Interconnects by Packaging Key Challenges Bus Bandwidths Large increase of bandwidths driven by multicore processors increasing frequency increasing bit lines Power high

More information