CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble

Size: px
Start display at page:

Download "CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble"

Transcription

1 CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble 0. Introduction In this homework, you will be introduced to a Place and Route tool called Silicon Ensemble This tool can create a final layout from a schematic or a HDL file. All parts/gates used in the design must be in a pre-defined library that is developed to work with Silicon Ensemble. This tool can accept various constraints such as timing and area. The tool will then try to meet the constraints that are given to it. In this homework the basics of place and route will be covered 1. Silicon Ensemble Setup 1.1 The first thing that you need to do is make another directory for all of your Silicon Ensemble designs. Go to your home directory and type the following to make a new directory: mkdir silicone 1.2 After making the directory you will need to copy some files into the directory, so that you can do this homework. Copy the following files into your silicone directory. tsmc25_4lm-cic.lef copy from /theda21_1/lib25spdm/cic_cbdk25_v1/core/lef/tsmc25_4lm-cic.lef tsmc25.v copy from /theda21_1/lib25spdm/cic_cbdk25_v1/core/verilog/tsmc25.v HW6.v Download from the course website..sysnopsys_dc.setup copy from /usr/local/myscript/synopsys_dc.setup Setup your environment Execute following command: source /usr/local/myscript/cadtool.cshrc Copyright 1999, Charlie Boecker Permission to duplicate and distribute this document is granted for sole educational purpose without any commercial advantage, provided this copyright message is accompanied in all the duplicates distributed. All other rights reserved. All Cadence s tools referred are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks belong to their respective owners.

2 2. Preparing the Verilog Code Now, open HW6.v. This file is the synthesis result of the structural style 4-bit multiplier we once created in homework 2.Note that the design consists of only the basic cells defined in TSMC25.v and the library file TSMC25.db used by design_analyzer. We will do the placement and routing for HW6.v now. 3. Importing Verilog and LEF Files into Silicon Ensemble 3.1 To start Silicon Ensemble you need to type the following in your silicone directory. sesi m=48 & This should bring up a Welcome window to Silicon Ensemble, which will go away after a while and then Silicon Ensemble will start up after about 30 seconds or so. 3.2 Once Silicon Ensemble is up, acquaint yourself with the different menus at the top, the quick buttons on the lower-left, the select/view options in the upper-left, and the application output at the bottom of the window. The application output will give you feedback about what is going on similar to the CIW in Cadence. 3.3 Choose Edit Environment. Find INPUT.LEF.DEF.NAMEMAP variable and set it to be TRUE. 3.4 The first step is getting the design into Silicon Ensemble. To do this choose File Import LEF. The Import LEF window will pop up. There should be only one choice in this window tsmc25_4lmcic.lef. Double click it. There should be a bunch of output, but after it is complete there should be no errors or warnings. If there are errors, contact your TA. You now have the library information imported into Silicon Ensemble. 3.5 The next step is to get the connectivity information into Silicon Ensemble. To do this, choose File Import Verilog. The Import Verilog window will pop up. Remember that we need two verilog files one for the library and one for the design. In the Verilog Source Files field enter the following tsmc25.v HW6.v.Here,tsmc25.v is the library file and HW6.v is the design file. In the Verilog Top Module field fill in the following mul_4bit. The rest of the form should be ok, so click on OK. After it is complete, you shouldn t have any errors. Now everything that is needed is in Silicon Ensemble.

3 4. Initializing Floorplan / Placing IOs 4.1 The cells that were in the schematic should be in Silicon Ensemble now. Fit the design to the window by pressing the icon in the middle of all of the arrows on the left. After you do this, you will not see anything. The reason is because Silicon Ensemble doesn t know where to put the cells yet. 4.2 Now you can initialize the floorplan by choosing Floorplan Initialize Floorplan. An Initialize Floorplan window will pop up. There are a lot of fields in the window. To find out what the different fields in the form are click on the Help button in the lower-right corner of the window. You will probably want to know what each of the fields is for. Set the form so that the fields are filled in like the following: Left/Right 40 microns Top/Bottom 40 microns Row Util. 70% Row Spacing 2 tracks Block Halo per side 20 microns Also, make sure that the Aspect Ratio option is selected in the Die Size Constraint and the Aspect Ratio is 1.0. The Flip Every Other Row and Abut Rows options should also be on. You also need to set some variables, so that Silicon Ensemble will be able to place and route our circuit correctly. Click on the Variables button in the lower-right hand corner. An Environment Variables window will open. You can use Help to find more about this.for the time being, the default setting are ok. After making sure that everything is correct click on OK. You should see red rows appear in your design. Your cells will be placed inside these rows later. 4.3 Now that the floorplan is initialized, the I/O Pins need to be placed. This is done by choosing Place IOs. A Place IO window will open. For now, just choose the random option for the placement of the pins. 5. Planning Power / Placing Cells / Compacting Floorplan 5.1 After the IOs are placed, the power routing scheme needs to be developed. To plan the power lines choose Route Plan Power. A Plan Power toolbox will open. There should also be a yellow box enclosing the three rows in the middle. If you can not see this, click the redraw icon. This is the path that the tool plans to route the power lines. You can change this by deleting/adding power paths. For this design, just leave the default power paths.

4 5.2 To make the power paths click on the Add Rings button. A PP Add Rings window will open. In the window fill in the form so that the following fields are set to the values given below: Preferred Dir Horizontal Metal1 Preferred Dir Vertical Metal2 Core Ring Width (Horizontal) 10.8 microns Core Ring Width (Vertical) 10.8 microns Core Ring space(from core, Horizontal) 2.16 microns Core Ring space(from core, Vertical) 2.16 microns Block Ring Width (Horizontal) 0 Block Ring Width (Vertical) 0 You should try to understand what each of the field represents. Again click on Help to find out about the commands and the fields/options associated with it. After, the values are entered click on OK. You should see a couple of blue and red rings encircle the rows. You are done planning the power, so click on Close in the Plan Power toolbox. 5.3 After the power routing is planned, the cells need to be placed inside the rows. Choose Place Cells. A Place Cells window will open. There are many options to choose from. For now, we will just use the Pin Placement option, which just makes sure that the circuit is logically connected right and doesn t place any emphasis on the delay of the cell. Click on Help to learn about the options that are available for the placement of cells. After you are done reading about the different options, close the Help window and click OK in the Place Cells window. You should be able to see the cells that are placed in the rows after this is done. If you are not able to see them make sure that they are visible in your Object Selection palette in the upper-left corner. In fact, you should probably make sure everything is visible. This will let you see everything that is happening in your design. 5.4 The next thing to do is to compact the design, so that some of the space can be removed and the design can be reduced in size. Choose Floorplan Compact Floorplan (Vsize). A Compact Floorplan (Vsize) window opens. In the window click Variables. An Environment Variables window will open. In the window search for the VSIZE.ITERATIONS variable and set it to 2. This is the number of iterations that you want the tool to make when compacting your floorplan. Search for the VSIZE.UPDATE.CONGESTION.MAP variable and set it to true. This tells the tool to update the congestion map, which you or the tool can use to decide on how to route or change the routing of the design. After both of these variables are set, click OK in the Environment Variables window. Then

5 click OK in the Compact Floorplan (Vsize) window. You should see your design change size. Your design is now ready to be routed. Before routing save your design by choosing File Save As. A Save As window will open. In the window everything should be ok, except change the Design Name field to mul4bit_vsize and click OK. The homework mentioned the congestion map above. The congestion map is a map that describes how hard the design is to route in a certain location. Depending on the color of the line that the congestion map draws the congestion could be high red or low blue. To display only the congestion map, you can change the display options by clicking the Display Options icon on the left by the Redraw icon. A Display Options window will appear. In the window turn off everything except the congestion map, remember what was set though, so you can restore it. After turning off all the displays except the congestion map, click on OK. Now you should see the congestion map (may not be present because of such a small design). This is a representation of how tough it will be to route the design. Most of the lines should be either blue or green, which means the router should have no problem. After done looking at the congestion map, restore the display options to its original state. 6. Routing the Design 6.1 Now your design may be smaller than it was before. Depending on how tough the design is to route, it may even get bigger. The cells in the design are not going to move from now on, so we can route the power lines to the cells. Choose Route Connect Ring and Connect Ring toolbox appears. You should see vdd! and gnd! in the Nets field. Disable block option.in the Follow Pins Pin Width field, fill in Click on OK. You should see the vdd! and gnd! pins connected to the vdd! and gnd! rings that are enclosing the cells. 6.2 The design is now connected to vdd! and gnd!. The next step is to route the cells together, so that they are logically the same as the schematic. Choose Route Global Route. A Global Route window will open. All the defaults in the window should be ok for this design. Again, if you want to know what all the options are for, click on Help and it will tell you. Click on OK. The output window will tell you what is going on. This step just does an initial routing of the cell. It tries to balance the congestion through the cell, so it doesn t run into a net that isn t routable. 6.3 Next choose Route Final Route. A Final Route window will open. Make sure that the Auto Search and Repair option and the All option are selected. Again, if you want to know more about final route, click the Help button. After selecting the options above, click on OK. The design should be connected now. You may not be able to see that the design is routed. If you want to zoom in click

6 on the magnification button in the lower left region of the window and make a box around the region you want to see. Save your design under the name of mul4bit_routed. 7. Print out the result Snapshot your place&route result into a.jpg file. Tips Press Print Scrn Sysrq key on your keyboard, then execute mspaint program and paste the snapshot on it. Save the snapshot into a bmp file and zip the file. PS. help message ) silicon ensemble! #" $% sesi h & ' ( The homework is due by noon, 5/1/2003. Please compress your snapshot file to zip file. Name the file as YourStudentID_hw6.zip, and it to tacs4120@nthucad.cs.nthu.edu.tw.

Place & Route: Using Silicon Ensemble

Place & Route: Using Silicon Ensemble Place & Route: Using Silicon Ensemble Introduction In a typical digital design flow, hardware description language is used to model a design and verify desired behavior. Once the desired functionality

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Tutorial for Cadence SOC Encounter Place & Route

Tutorial for Cadence SOC Encounter Place & Route Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS: Creating LEF Tutorial 1-1 - Creating LEF File Abstract Generation: Export GDS: Abstract generator comes as a part of the Silicon Ensemble package. As such, it cannot directly read ICFB library databases.

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

Another view of the standard cells called the abstract view needs to generated

Another view of the standard cells called the abstract view needs to generated Abstract Generation Place and route tools do not require the full cell layout Another view of the standard cells called the abstract view needs to generated The abstract view provides information like:

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

Chapter 4 Determining Cell Size

Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size The third tutorial is designed to give you a demonstration in using the Cell Size Calculator to obtain the optimal cell size for your circuit

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Overview of Adobe InDesign CS4 workspace

Overview of Adobe InDesign CS4 workspace Adobe InDesign CS4 Project 3 guide Overview of Adobe InDesign CS4 workspace In this guide, you ll learn how to do the following: Work with the InDesign workspace, tools, document windows, pasteboard, and

More information

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0. Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.5u C5 CMOS Version 6.0 Overview This tutorial will take you through the

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM

MAGIC TUTORIAL. Getting Started: Background Info: RLW 10/15/98 3:12 PM MAGIC TUTORIAL Getting Started: Login to one of the workstations located in ECEE 253 using login name iclab. There is no password so just hit [enter]. The local directory on each machine is /home/sp98/iclab.

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Windows 10 Creators Edition Tips & Tricks. These New Hidden Features Will Save You Time and Money

Windows 10 Creators Edition Tips & Tricks. These New Hidden Features Will Save You Time and Money Windows 10 Creators Edition Tips & Tricks These New Hidden Features Will Save You Time and Money In April 2017, Microsoft released the new Windows 10 Creators Edition. Its features will save you hours

More information

Compare and Merge Track Changes

Compare and Merge Track Changes Compare and Merge Track Changes Course Description The document you have been working on for a couple of weeks is finally finished. Before sending the document to its final destination to be published,

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

Instruction on padframe

Instruction on padframe Instruction on padframe The padframe is a 40-pins padframe for the AMI ABN 1.6um process from MOSIS located at http://www.mosis.org/cell-libraries/scn16-pads/. You should download the CIF file from the

More information

Abstract Editor (Last updated: Oct. 23, 2008)

Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor (Last updated: Oct. 23, 2008) Abstract Editor Tutorial This tutorial has been created to discuss all of the steps needed to create an abstract Library Exchange Format (LEF) file for custom

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

The IIT standard cell library Version 2.1

The IIT standard cell library Version 2.1 The IIT standard cell library Version 2.1 Highlights - Support for AMI 0.35um library, including pads - Added Primetime and Pathmill support to IIT ASIC Flow - Support for stacked vias (for Virtuoso and

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0

Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0 Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0 FABLAB BRIGHTON 2018 These instructions take you through step-by-step the process of creating the full circuit board design

More information

Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0.

Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0. Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0.5 µ C5N CMOS 1. Create a folder called encounter inside of your project folder

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm HOMEWORK 9 CMPEN 411 Due: 4/12/2016 11:30pm Learning Objective Complete the full 8 bit RISC microprocessor chip design by placing the processor core design into the 40 pin 'tiny' chip pad frame. Do verify

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

MAPLOGIC CORPORATION. GIS Software Solutions. Getting Started. With MapLogic Layout Manager

MAPLOGIC CORPORATION. GIS Software Solutions. Getting Started. With MapLogic Layout Manager MAPLOGIC CORPORATION GIS Software Solutions Getting Started With MapLogic Layout Manager Getting Started with MapLogic Layout Manager 2011 MapLogic Corporation All Rights Reserved 330 West Canton Ave.,

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

EDITING AN EXISTING REPORT

EDITING AN EXISTING REPORT Report Writing in NMU Cognos Administrative Reporting 1 This guide assumes that you have had basic report writing training for Cognos. It is simple guide for the new upgrade. Basic usage of report running

More information

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification CMOS VLSI Design Lab 3: Controller Design and Verification The controller for your MIPS processor is responsible for generating the signals to the datapath to fetch and execute each instruction. It lacks

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

ILLUSTRATOR. Introduction to Adobe Illustrator. You will;

ILLUSTRATOR. Introduction to Adobe Illustrator. You will; ILLUSTRATOR You will; 1. Learn Basic Navigation. 2. Learn about Paths. 3. Learn about the Line Tools. 4. Learn about the Shape Tools. 5. Learn about Strokes and Fills. 6. Learn about Transformations. 7.

More information

Tutorial for Verilog Synthesis Lab (Part 2)

Tutorial for Verilog Synthesis Lab (Part 2) Tutorial for Verilog Synthesis Lab (Part 2) Before you synthesize your code, you must absolutely make sure that your verilog code is working properly. You will waste your time if you synthesize a wrong

More information

Introduction to PCB Design with EAGLE. Jianan Li

Introduction to PCB Design with EAGLE. Jianan Li Introduction to PCB Design with EAGLE Jianan Li Install EAGLE Download EAGLE: http://www.cadsoftusa.com/download-eagle/ Choose Run as Freeware during installation Create a New Project Launch EAGLE and

More information

Introduction to Microsoft Office 2007

Introduction to Microsoft Office 2007 Introduction to Microsoft Office 2007 What s New follows: TABS Tabs denote general activity area. There are 7 basic tabs that run across the top. They include: Home, Insert, Page Layout, Review, and View

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

Help us make this document better smarttech.com/docfeedback/ SMART Ink 3.1 USER S GUIDE FOR WINDOWS OPERATING SYSTEMS

Help us make this document better smarttech.com/docfeedback/ SMART Ink 3.1 USER S GUIDE FOR WINDOWS OPERATING SYSTEMS Help us make this document better smarttech.com/docfeedback/170830 SMART Ink 3.1 USER S GUIDE F WINDOWS OPERATING SYSTEMS Trademark notice SMART Ink, SMART Notebook, SMART Meeting Pro, Pen ID, smarttech,

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning Lecture 8: Synthesis, Implementation Constraints and High-Level Planning MAH, AEN EE271 Lecture 8 1 Overview Reading Synopsys Verilog Guide WE 6.3.5-6.3.6 (gate array, standard cells) Introduction We have

More information

Table of Contents. KCD Terminology.2-4. KCD Dimensions KCD Toolbar KCD Top Ten Short Cuts...10

Table of Contents. KCD Terminology.2-4. KCD Dimensions KCD Toolbar KCD Top Ten Short Cuts...10 Table of Contents KCD Terminology.2-4 KCD Dimensions...5-6 KCD Toolbar...7-9 KCD Top Ten Short Cuts......10 KCD Terminology Main KCD Screen A The Main Menu, this is where you will be able to find all the

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

PCB Design utilizing Cadence Software. Application Note

PCB Design utilizing Cadence Software. Application Note PCB Design utilizing Cadence Software Application Note Kyle Schultz 11-9-11 ECE 480 Design Team 5 Keywords: Schematic, PCB, Fabrication, Cadence, Design Entry CIS, Allegro Table of Contents Abstract 1

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

Lab 1: FPGA Physical Layout

Lab 1: FPGA Physical Layout Lab 1: FPGA Physical Layout University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek, James

More information

TOF-Watch SX Monitor

TOF-Watch SX Monitor TOF-Watch SX Monitor User manual Version 1.2 Organon (Ireland) Ltd. Drynam Road Swords Co. Dublin Ireland Contents General information... 3 Getting started... 3 File Window... 7 File Menu... 10 File Open

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Lesson 14: Property Editor

Lesson 14: Property Editor Lesson 14: Property Editor Lesson Objectives After completing this lesson, you will be able to: Work with Property Filters in the Property Editor Add part and net properties using the Property Editor Using

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

Quick Start Guide - Contents. Opening Word Locating Big Lottery Fund Templates The Word 2013 Screen... 3

Quick Start Guide - Contents. Opening Word Locating Big Lottery Fund Templates The Word 2013 Screen... 3 Quick Start Guide - Contents Opening Word... 1 Locating Big Lottery Fund Templates... 2 The Word 2013 Screen... 3 Things You Might Be Looking For... 4 What s New On The Ribbon... 5 The Quick Access Toolbar...

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

Advanced Special Effects

Advanced Special Effects Adobe Illustrator Advanced Special Effects AI exercise preview exercise overview The object is to create a poster with a unified color scheme by compositing artwork drawn in Illustrator with various effects

More information

Using the ispxpga Floorplanner

Using the ispxpga Floorplanner Using the ispxpga Floorplanner Table of Contents USING THE ISPXPGA FLOORPLANNER...3 Task 1: Open the Design...4 Task 2: Open a Floorplanner Design File...5 Task 3: Tour the Graphical User Interface - The

More information

Using Adobe Photoshop

Using Adobe Photoshop Using Adobe Photoshop 2 In this section we ll look at some essential things you need to know in order to use Photoshop effectively. First of all, we ll take a look at customising Photoshop s settings and

More information

Contacts, presence, and IM

Contacts, presence, and IM Want to shut your virtual office door? Presence is automatically set based on your Outlook calendar but you can change it temporarily if you want to. Presence status is a quick way for other people see

More information

Book 5. Chapter 1: Slides with SmartArt & Pictures... 1 Working with SmartArt Formatting Pictures Adjust Group Buttons Picture Styles Group Buttons

Book 5. Chapter 1: Slides with SmartArt & Pictures... 1 Working with SmartArt Formatting Pictures Adjust Group Buttons Picture Styles Group Buttons Chapter 1: Slides with SmartArt & Pictures... 1 Working with SmartArt Formatting Pictures Adjust Group Buttons Picture Styles Group Buttons Chapter 2: Slides with Charts & Shapes... 12 Working with Charts

More information

Locate your Advanced Tools and Applications

Locate your Advanced Tools and Applications File Manager allows you to take full control of your website files. You can copy, move, delete, rename and edit files, create and remove directories, change file permissions and upload files from your

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

Quick Front-to-Back Overview Tutorial

Quick Front-to-Back Overview Tutorial Quick Front-to-Back Overview Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 14.5 If using a later software version, there

More information

Explore some of the new functionality in ArcMap 10

Explore some of the new functionality in ArcMap 10 Explore some of the new functionality in ArcMap 10 Scenario In this exercise, imagine you are a GIS analyst working for Old Dominion University. Construction will begin shortly on renovation of the new

More information