Design challenges for wireless smart cameras

Size: px
Start display at page:

Download "Design challenges for wireless smart cameras"

Transcription

1 Design challenges for wireless smart cameras Marc Heijligers Richard Kleihorst, Anteheh Abbo, Vishal Choudhary, Leo Sevat, Ben Schueler Philips Research, Eindhoven Contents Context Vision Platform Architecture Vision IC technology WiCa: Wireless Camera Future Challenges Conclusions Marc Heijligers, Philips Research MPSoC

2 Context Consumer faces overflow of Control equipment Complex Events Marc Heijligers, Philips Research MPSoC

3 Smart Vision for Comfort, Ease of Use and Safety Marc Heijligers, Philips Research MPSoC Smart Vision for Comfort, Ease of Use and Safety Marc Heijligers, Philips Research MPSoC

4 And many more Learning Play instruments Safety for automotive Lane tracking, pedestrian detection, traffic signs, airbag control, sleep detection Security Guarding, babysitting Calibration Control Professional appliances, 3D-TV rendering Autonomous vacuum cleaning, robotics Healthcare Patient monitoring Marc Heijligers, Philips Research MPSoC Smart Vision Application Domain The eyes and intelligence for consumer devices. Small mobile devices instead of large super computers. Consumer pricepoint. Image from Scientific American, May 2005 Marc Heijligers, Philips Research MPSoC

5 Computational Requirements Mobile Multimedia 0.3 GOPS 1 GOPS Home-Dialog/Robotics ~ 1 GOPS Automotive Vision ~ 5 GOPS 3D-Video ~ 20 GOPS High-Performance Video Restoration ~ 1 TOPS Marc Heijligers, Philips Research MPSoC Example: Mobile 3D Experience Specification Resolution : ~ VGA (640 pixels x 480 lines) Frame Rate: 30 frames/sec Tasks : 2D-3D conversion 500 Ops/pixel 3D rendering 500 Ops/pixel 10 Mpixel/s 10 GOPS State of the art Xetal CMOS18 : 5 GOPS/Watt Battery mobile : 3 Watt-hour 1.5 hours!!! 1 TOPS 200 Watt Marc Heijligers, Philips Research MPSoC

6 Vision Platform Architecture The Sense-Think-Act cycle Embedded ICs Computer science Smart Sensors THINK System Control SENSE ACT CMOS sensors Physics world / scene Engineering Floris Mantz, TUDelft Marc Heijligers, Philips Research MPSoC

7 Video Analysis Partitioning Video Low Level Intermediate Level Pixel Processing - Image improvement - Edge Enhancement - Convolution kernels Object processing - Shape analysis/coding - Segmentation Data High Level Decision-making Real Time OS Networking Marc Heijligers, Philips Research MPSoC Video Analysis Mapping -> heterogeneous Video Low Level Pixel Processing M Pixels/sec - Similar processing per pixel SIMD Intermediate Level Object processing K Objects/sec - Similar processing per object MIMD FPGA Data High Level Decision-making Real Time OS Networking RISC VLIW Marc Heijligers, Philips Research MPSoC

8 Vision IC technology SIMD Scaling: 1PE - 320PEs 0.02mm mm 2 Data Memory 0.6mm 2 1mm 2 1 MHz Control Program Memory 25MHz Performance Size Perf/area Bandwidth 25MOPS 11.4 mm MOP/mm 2 500Mb/sec 9.8mm mm mm 2 Data Memory 0.02mm 2 1mm 2 0.6mm 2 Program Memory Performance Size Perf/area Bandwidth 8 GOPS 18.0 mm MOP/mm Gb/sec MHz Control 25MHz Marc Heijligers, Philips Research MPSoC

9 Locality of reference A B C = A + B; C = A > B? A : B; PE instruction C Typical DSP instructions need 4 accesses to memory Locality of reference saves power! Marc Heijligers, Philips Research MPSoC Programmable SIMD core: XeTaL 320 PEs 64K 2x16X320=10k wires Lines(*) Global Global Control Processor Control Processor GPI GPO I2C 2x16X320=10k wires 2 Lines 2048 Video In DIP 3x2560 DOP 3x2560 DOP Video/Data Out (*) depending on Xetal-version Marc Heijligers, Philips Research MPSoC

10 Xetal - 3D 320 processors 50 GOPS max pixel performance 300mW power consumption 21 mm 2 area, 150nm Marc Heijligers, Philips Research MPSoC Xetal family Xetal-I Xetal-3D Xetal-II (**) Typical Clock Speed 25MHz (max) 80MHz (max) 200 MHz Max. Performance (+, *) 16 GOPS 50 GOPS 200 GOPS Internal Memory Bandwidth 150Gbit/s 450Gbit/s 3.0Tbit/s Data-path Resolution 10-bit 10-bit 16-bit On-Chip Memory 100 kbit: 16 VGA lines 200 kbit: 64 ½ VGA lines 10Mbit: 4 VGA frames Input Video Port 1x10bit 4x8bit 3x10bit Output Video/Data Port 3x10bit 4x8bit 3x10bit Maximum input rate 380Mbit/s 1920Mbit/s 2400Mbit/s Silicon Technology 180nm 150nm 90 nm Chip Area 22 mm 2 18 mm 2 72 mm 2 Number of Gate Equivalents 700 kgates Power Consumption 20mW/GOP 15mW/GOP 10mW/GOP ** Recent results on the tester Marc Heijligers, Philips Research MPSoC

11 Proposed Chip Layout 128b x 2048 TILE3 TILE0 DOP PDP ISR OSR 8 PEs DIP GCP Xetal-II (8mm X 9.5 mm) Xetal-I C090 (2.9mm X 1.9 mm) TILE39 Marc Heijligers, Philips Research MPSoC SIMD power consumption 25 SIMD Energy Consumption W 20 Enery Consumption[nJ/pixel] W=13 W=11 W=9 5 W=7 W=5 W= Number of PEs - Energy saving through parallelism is linked to the computational complexity - Without voltage scaling, energy saving levels off Marc Heijligers, Philips Research MPSoC

12 Xetal-II Power management DIP Line-Memories DOP LUT LPA GCP Vdd Vddr Vddg Power Management IC Xetal-II Voltage Domains. The supply voltage of the LPA is controlled to follow the performance demands of the processing. The GCP supply is the only remaining in hibernation mode. Marc Heijligers, Philips Research MPSoC SIMD with Voltage Scaling (Xetal-1 1 case) Scaling Energy Consumption in SIMD Architectures 30 GOPS 0.8 Energy Scaling Factor GOPS 10 GOPS GOPS Number of PEs Marc Heijligers, Philips Research MPSoC

13 Estimated State of the Art Processors "Peak" Performance in 32-bit GOPS Normalized to 130nm Normalized Processors 1.2V, 32-bit Ops Including memories PicoChip CELL Stream BF561 TI C64x EVP SiHive Sandbridge SiliconHive Visconoti Xtensa SC140 ondsp VI1 Macgic GP DSP Vector Energy Efficiency in 32-bit MOPS/mW Courtesy: Raghavan IMEC Marc Heijligers, Philips Research MPSoC Coolfux XeTaL-II full performance supply voltage scaling XeTaL-II V T scaling limit IPE WiCa: Wireless Camera 13

14 Wireless power consumption Power mains 1W UTP 100m a battery 10m Bluetooth 1m Zigbee Autonomous 100µ PicoRadio power/bit does not scale with Moore s Law Data Rate b/s 100 1k 10k 100k 1M 10M 100M ~sensor ~ speech, audio, hifi ~ moving pictures (R.Roovers) Marc Heijligers, Philips Research MPSoC Power consumption of a wireless camera A typical wireless digital VGA camera needs 200 mwatt to transmit life video over a short distance. 1 year of daily use means 1750 Wh. Using a good fuel cell it consumes about 2.2 liters of methanol fuel per year. This takes about 400X the total camera volume. Marc Heijligers, Philips Research MPSoC

15 Intrinsic power consumption in the radio path DSP DA RF PA TRANSMITTER E T transmit signal processing energy per bit E L Link energy per bit E T [nj/bit] Bluetooth E L [nj/bit] Solution/system partition: Perform video processing locally Marc Heijligers, Philips Research MPSoC 2006 Raf Roovers 29 WiCa architecture XeTaL 8051 SIMD CPU Single threaded Heterogeneous Marc Heijligers, Philips Research MPSoC

16 WiCa implementation Best-in-class networked smart vision platform: 5 high-end PCs pixel performance Mobile, battery powered. Standardized interfaces and components. Easily programmable. Quick prototyping. Allows application innovation with partners. Marc Heijligers, Philips Research MPSoC Power consumption Corner features A unique list of corners describes the object. Corner detection on SIMD, list matching on DSP/CPU 30fps VGA SIFT (Scale Invariant Feature Transform) Size independent characterization. 30fps VGA Marc Heijligers, Philips Research MPSoC

17 Face detection video Marc Heijligers, Philips Research MPSoC Depth estimation from stereo Marc Heijligers, Philips Research MPSoC

18 Future Challenges Architectural Challenges XeTaL ARM SIMD CPU Memory, memory, memory - What memory hierarchy is needed to enhance locality of reference? - Which ratios of size? - Which interfaces? - What type of feedback loops? - Do we need to partition the external memory in different banks? - Standalone vs. system integration. - What memory model for programming? (cache/scratchpad/mix) - How can we share the memory of other cameras? - Should support dynamic extension of the network Marc Heijligers, Philips Research MPSoC

19 Architectural Challenges XeTaL ARM SIMD CPU Performance increase - More performance?=>? More Xetals and/or ARMS? - How to distribute workload over multiple WiCas? Programming model - How to sync SIMD/CPU? - Memory map? - Where to put databases for recognition? Marc Heijligers, Philips Research MPSoC Architectural Challenges XeTaL ARM SIMD CPU Application - How to calibrate? How to perform arbitration? - How to share/decide about multiple view geometries? Marc Heijligers, Philips Research MPSoC

20 Integration challenges: 3D? More performance, less power Marc Heijligers, Philips Research MPSoC Conclusion 20

21 Conclusions Smart vision architecture with Low power SIMD architecture Power management State-of-the-art performance Programmable, enabling a wide scope of applications Only possible with experts ranging from application domain via compilers to deep-submicron Challenging SoC design and integration problems Marc Heijligers, Philips Research MPSoC

SMART CAMERA MOTE WITH HIGH PERFORMANCE VISION SYSTEM. Richard Kleihorst, Ben Schueler, Alexander Danilin, Marc Heijligers

SMART CAMERA MOTE WITH HIGH PERFORMANCE VISION SYSTEM. Richard Kleihorst, Ben Schueler, Alexander Danilin, Marc Heijligers SMART CAMERA MOTE WITH HIGH PERFORMANCE VISION SYSTEM Richard Kleihorst, Ben Schueler, Alexander Danilin, Marc Heijligers Philips Research Laboratories, WAY4.1, Prof. Holstlaan 4, NL5656AA Eindhoven, The

More information

TIOVX TI s OpenVX Implementation

TIOVX TI s OpenVX Implementation TIOVX TI s OpenVX Implementation Aish Dubey Product Marketing, Automotive Processors Embedded Vision Summit, 3 May 2017 1 TI SOC platform heterogeneous cores High level processing Object detection and

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

From Smart Cameras to Visual Sensor Networks

From Smart Cameras to Visual Sensor Networks From Smart Cameras to Visual Sensor Networks Prof. Bernhard Rinner Pervasive Computing Institut für Vernetzte und Eingebettete Systeme Alpen-Adria Universität Klagenfurt http://pervasive.uni-klu.ac.at

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

MPSOC Architectures for Computing for Imaging. Thierry Collette, Ph.D. CEA LIST Head of Architecture and Design Department

MPSOC Architectures for Computing for Imaging. Thierry Collette, Ph.D. CEA LIST Head of Architecture and Design Department MPSOC Architectures for Computing for Imaging Thierry Collette, Ph.D. CEA LIST Head of Architecture and Design Department thierry.collette@cea.fr Performance Embedded Computing: a New Area www.tilera.com

More information

Multimedia in Mobile Phones. Architectures and Trends Lund

Multimedia in Mobile Phones. Architectures and Trends Lund Multimedia in Mobile Phones Architectures and Trends Lund 091124 Presentation Henrik Ohlsson Contact: henrik.h.ohlsson@stericsson.com Working with multimedia hardware (graphics and displays) at ST- Ericsson

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Dr.-Ing Jens Benndorf (DCT) Gregor Schewior (DCT) A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI based on Cadence VP6 Technology Tensilica Day 2017 16th

More information

Small is the New Big: Data Analytics on the Edge

Small is the New Big: Data Analytics on the Edge Small is the New Big: Data Analytics on the Edge An overview of processors and algorithms for deep learning techniques on the edge Dr. Abhay Samant VP Engineering, Hiller Measurements Adjunct Faculty,

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Digital Audio Broadcasting. Xilinx Solutions for DAB Receiver Systems

Digital Audio Broadcasting. Xilinx Solutions for DAB Receiver Systems Digital Audio Broadcasting Xilinx Solutions for DAB Receiver Systems Portable DAB Receiver Issues Adding DAB functionality to existing units Interfacing DAB chipsets to processors Adding other features

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications

Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications Comprehensive Arm Solutions for Innovative Machine Learning (ML) and Computer Vision (CV) Applications Helena Zheng ML Group, Arm Arm Technical Symposia 2017, Taipei Machine Learning is a Subset of Artificial

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

Platform-based Design

Platform-based Design Platform-based Design The New System Design Paradigm IEEE1394 Software Content CPU Core DSP Core Glue Logic Memory Hardware BlueTooth I/O Block-Based Design Memory Orthogonalization of concerns: the separation

More information

A LOW-POWER VGA FULL-FRAME FEATURE EXTRACTION PROCESSOR. Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, and Dennis Sylvester

A LOW-POWER VGA FULL-FRAME FEATURE EXTRACTION PROCESSOR. Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, and Dennis Sylvester A LOW-POWER VGA FULL-FRAME FEATURE EXTRACTION PROCESSOR Dongsuk Jeon, Yejoong Kim, Inhee Lee, Zhengya Zhang, David Blaauw, and Dennis Sylvester University of Michigan, Ann Arbor ABSTRACT This paper proposes

More information

Distributed Vision Processing in Smart Camera Networks

Distributed Vision Processing in Smart Camera Networks Distributed Vision Processing in Smart Camera Networks CVPR-07 Hamid Aghajan, Stanford University, USA François Berry, Univ. Blaise Pascal, France Horst Bischof, TU Graz, Austria Richard Kleihorst, NXP

More information

SoC Communication Complexity Problem

SoC Communication Complexity Problem When is the use of a Most Effective and Why MPSoC, June 2007 K. Charles Janac, Chairman, President and CEO SoC Communication Complexity Problem Arbitration problem in an SoC with 30 initiators: Hierarchical

More information

Stream Processor Architecture. William J. Dally Stanford University August 22, 2003 Streaming Workshop

Stream Processor Architecture. William J. Dally Stanford University August 22, 2003 Streaming Workshop Stream Processor Architecture William J. Dally Stanford University August 22, 2003 Streaming Workshop Stream Arch: 1 August 22, 2003 Some Definitions A Stream Program expresses a computation as streams

More information

24th MONDAY. Overview 2018

24th MONDAY. Overview 2018 24th MONDAY Overview 2018 Imagination: your route to success At Imagination, we create and license market-leading processor solutions for graphics, vision & AI processing, and multi-standard communications.

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine

SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine Session 5D : Designer s Forum : State-of-the-art SoCs 5D-4 SoC for Car Navigation Systems with a 53.3 GOPS Image Recognition Engine Jan. 20. 2010 Hiroyuki Hamasaki*, Yasuhiko Hoshi*, Atsushi Nakamura *,

More information

MPSOC 2011 BEAUNE, FRANCE

MPSOC 2011 BEAUNE, FRANCE MPSOC 2011 BEAUNE, FRANCE BOADRES: A SCALABLE BASEBAND PROCESSOR TEMPLATE FOR Gbps RADIOS VICE PRESIDENT, CHAIRMAN OF THE TECHNOLOGY OFFICE PROFESSOR AT THE KATHOLIEKE UNIVERSITEIT LEUVEN STATUS SDR BASEBAND

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Adaptable Intelligence The Next Computing Era

Adaptable Intelligence The Next Computing Era Adaptable Intelligence The Next Computing Era Hot Chips, August 21, 2018 Victor Peng, CEO, Xilinx Pervasive Intelligence from Cloud to Edge to Endpoints >> 1 Exponential Growth and Opportunities Data Explosion

More information

AI applications at the very edge.

AI applications at the very edge. AI applications at the very edge loic.lietar@greenwaves-technologies.com www.greenwaves-technologies.com 1 About GreenWaves Technologies Founded by industry veterans in November 2014 Based in Grenoble

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

TEXAS INSTRUMENTS DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS GOES HERE

TEXAS INSTRUMENTS DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS GOES HERE YOUR TEXAS INSTRUMENTS VIDEO TITLE DEEP LEARNING (TIDL) GOES HERE FOR SITARA PROCESSORS OVERVIEW THE SUBTITLE GOES HERE Texas Instruments Deep Learning (TIDL) for Sitara Processors Overview Texas Instruments

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Boris Grot and Dr. Vijay Nagarajan!! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors:!

More information

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. Dr. Jens Benndorf MD, COO Dream Chip A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr. 13th, 2017 DCT Company Profile Dream

More information

Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures

Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures Designing Area and Performance Constrained SIMD/VLIW Image Processing Architectures Hamed Fatemi 1,2, Henk Corporaal 2, Twan Basten 2, Richard Kleihorst 3,and Pieter Jonker 4 1 h.fatemi@tue.nl 2 Eindhoven

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Boris Grot and Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh General Information Instructors: Boris

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

11th symposium on advanced space technologies in robotics and automation

11th symposium on advanced space technologies in robotics and automation 11th symposium on advanced space technologies in robotics and automation CONTRIBUTION OF A POWERFUL IMAGE PROCESSING UNIT TO THE AUTONOMY OF ROBOTIC INSTRUMENTS Thomas Würgler, Neuchâtel, Switzerland Thursday,

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Boris Grot and Dr. Vijay Nagarajan!! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

MIPI : Advanced Driver Assistance System

MIPI : Advanced Driver Assistance System MIPI : Advanced Driver Assistance System application and system development Richard Sproul Charles Qi - Gabriele Zarri (Cadence) esame Conference Sophia Antipolis 05 October 2015 ADAS : some history FORD

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Übersetzerbau in österreichischen Softwarefirmen TU Wien

Übersetzerbau in österreichischen Softwarefirmen TU Wien Übersetzerbau in österreichischen Softwarefirmen TU Wien 30.3.2017 1 Company overview and mission CATENA 2 CATENA Partner of choice in System IP and IC Design IC design-house founded in 1986 Shares acquired

More information

Performance of computer systems

Performance of computer systems Performance of computer systems Many different factors among which: Technology Raw speed of the circuits (clock, switching time) Process technology (how many transistors on a chip) Organization What type

More information

Industrial Vision Days 2010 C. Strampe: ATOM oder DSP? Embedded Lösungen im Vergleich

Industrial Vision Days 2010 C. Strampe: ATOM oder DSP? Embedded Lösungen im Vergleich Industrial Vision Days 2010 C. Strampe: ATOM oder DSP? Embedded Lösungen im Vergleich IMAGO Technologies GmbH Foundation since 16 years in the market. 1994 Strampe Systemelektronik 1998 Strampe Systemelektronik

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

The extreme Adaptive DSP Solution to Sensor Data Processing

The extreme Adaptive DSP Solution to Sensor Data Processing The extreme Adaptive DSP Solution to Sensor Data Processing Abstract Martin Vorbach PACT XPP Technologies Leo Mirkin Sky Computers, Inc. The new ISR mobile autonomous sensor platforms present a difficult

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Join the forward thinkers who rely on Toshiba for wireless connectivity ICs.

Join the forward thinkers who rely on Toshiba for wireless connectivity ICs. ELECTRONIC COMPONENTS Wireless Communication Solutions Join the forward thinkers who rely on Toshiba for wireless connectivity ICs. Bluetooth Low Power Near Field Communications High Speed Wireless Power

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

Low-Power Neural Processor for Embedded Human and Face detection

Low-Power Neural Processor for Embedded Human and Face detection Low-Power Neural Processor for Embedded Human and Face detection Olivier Brousse 1, Olivier Boisard 1, Michel Paindavoine 1,2, Jean-Marc Philippe, Alexandre Carbon (1) GlobalSensing Technologies (GST)

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

Content. New Challenges Memory and bandwidth

Content. New Challenges Memory and bandwidth Invasic Seminar March 23 2011, Erlangen Content Computing increase and power challenge in (embedded) computing Heterogeneous multi-core architectures with dedicated accelerators New paradigm e.g. invasive

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

Venezia: a Scalable Multicore Subsystem for Multimedia Applications

Venezia: a Scalable Multicore Subsystem for Multimedia Applications Venezia: a Scalable Multicore Subsystem for Multimedia Applications Takashi Miyamori Toshiba Corporation Outline Background Venezia Hardware Architecture Venezia Software Architecture Evaluation Chip and

More information

Open innovation in Embedded Systems Design

Open innovation in Embedded Systems Design Open innovation in Embedded Systems Design Filiep Vincent Project manager DSP Valley 6 October 2009 Slide 1 Why is DSP Valley a Why Innovation? Technology Network? 6 October 2009 Slide 2 Innovation in

More information

Real-Time Human Posture Reconstruction in Wireless Smart Camera Networks

Real-Time Human Posture Reconstruction in Wireless Smart Camera Networks 2008 International Conference on Information Processing in Sensor Networks Real-Time Human Posture Reconstruction in Wireless Smart Camera Networks Chen Wu, Hamid Aghajan Wireless Sensor Networks Lab Stanford

More information

CEVA-X1 Lightweight Multi-Purpose Processor for IoT

CEVA-X1 Lightweight Multi-Purpose Processor for IoT CEVA-X1 Lightweight Multi-Purpose Processor for IoT 1 Cellular IoT for The Massive Internet of Things Narrowband LTE Technologies Days Battery Life Years LTE-Advanced LTE Cat-1 Cat-M1 Cat-NB1 >10Mbps Up

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Multi-Core SoCs for ADAS and Image Recognition Applications

Multi-Core SoCs for ADAS and Image Recognition Applications Multi-Core SoCs for ADAS and Image Recognition Applications Takashi Miyamori, Senior Manager Embedded Core Technology Development Department Center for Semiconductor Research & Development Storage Device

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Lecture 7: Parallel Processing

Lecture 7: Parallel Processing Lecture 7: Parallel Processing Introduction and motivation Architecture classification Performance evaluation Interconnection network Zebo Peng, IDA, LiTH 1 Performance Improvement Reduction of instruction

More information

Grand Challenge Scaling - Pushing a Fully Programmable TeraOp into Handset Imaging

Grand Challenge Scaling - Pushing a Fully Programmable TeraOp into Handset Imaging Grand Challenge Scaling - Pushing a Fully Programmable TeraOp into Handset Imaging Chris Rowen Cadence Fellow/Tensilica CTO Outline Grand challenge problem for the next decade: video and vision intelligence

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures ECE 747 Digital Signal Processing Architecture DSP Implementation Architectures Spring 2006 W. Rhett Davis NC State University W. Rhett Davis NC State University ECE 406 Spring 2006 Slide 1 My Goal Challenge

More information

MDR-1 Mobile Document Reader

MDR-1 Mobile Document Reader MDR-1 Mobile Document Reader SPC_MDR-1 1/7 Mobile Document Reader MDR-1 Security Printing Consulting AG The new MDR-1 document reader fulfill the needs for fast and reliable reading, verification and authentication

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC) Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 10 System on Chip (SOC) In the last class, we had discussed digital signal processors.

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 2.6 A 51.2GOPS Scalable Video Recognition Processor for Intelligent Cruise Control Based on a Linear Array of 128 4-Way VLIW Processing

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

Smart Ultra-Low Power Visual Sensing

Smart Ultra-Low Power Visual Sensing Smart Ultra-Low Power Visual Sensing Manuele Rusci*, Francesco Conti * manuele.rusci@unibo.it f.conti@unibo.it Energy-Efficient Embedded Systems Laboratory Dipartimento di Ingegneria dell Energia Elettrica

More information

THE NVIDIA DEEP LEARNING ACCELERATOR

THE NVIDIA DEEP LEARNING ACCELERATOR THE NVIDIA DEEP LEARNING ACCELERATOR INTRODUCTION NVDLA NVIDIA Deep Learning Accelerator Developed as part of Xavier NVIDIA s SOC for autonomous driving applications Optimized for Convolutional Neural

More information

Serial EEPROM for Automotive New Advanced series

Serial EEPROM for Automotive New Advanced series Serial EEPROM for Automotive New Advanced series More parameters, quicker, safer http://www.st.com/automotiveeeprom Good reasons to use EEPROM in cars 2 Applications in cars become Smarter, Customized

More information

ECE 4514 Digital Design II. Spring Lecture 22: Design Economics: FPGAs, ASICs, Full Custom

ECE 4514 Digital Design II. Spring Lecture 22: Design Economics: FPGAs, ASICs, Full Custom ECE 4514 Digital Design II Lecture 22: Design Economics: FPGAs, ASICs, Full Custom A Tools/Methods Lecture Overview Wows and Woes of scaling The case of the Microprocessor How efficiently does a microprocessor

More information

Anatomy of AMD s TeraScale Graphics Engine

Anatomy of AMD s TeraScale Graphics Engine Anatomy of AMD s TeraScale Graphics Engine Mike Houston Design Goals Focus on Efficiency f(perf/watt, Perf/$) Scale up processing power and AA performance Target >2x previous generation Enhance stream

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

Integrating Custom Hardware into Sensor Web. Maria Porcius Carolina Fortuna Gorazd Kandus Mihael Mohorcic

Integrating Custom Hardware into Sensor Web. Maria Porcius Carolina Fortuna Gorazd Kandus Mihael Mohorcic Integrating Custom Hardware into Sensor Web Maria Porcius Carolina Fortuna Gorazd Kandus Mihael Mohorcic OUTLINE 1. Introduction 2. State of the art 3. System architecture - main components 3.1 Hardware

More information

An introduction to Machine Learning silicon

An introduction to Machine Learning silicon An introduction to Machine Learning silicon November 28 2017 Insight for Technology Investors AI/ML terminology Artificial Intelligence Machine Learning Deep Learning Algorithms: CNNs, RNNs, etc. Additional

More information

Embedded Software: Its Growing Influence on the Hardware world

Embedded Software: Its Growing Influence on the Hardware world Embedded Software: Its Growing Influence on the Hardware world ISA Vision Summit 2009, Bangalore 16 th FEB 09 V. R. Venkatesh Head, Product Engineering Services, Wipro Technologies. Wipro in Product Engineering

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

Challenges for GPU Architecture. Michael Doggett Graphics Architecture Group April 2, 2008

Challenges for GPU Architecture. Michael Doggett Graphics Architecture Group April 2, 2008 Michael Doggett Graphics Architecture Group April 2, 2008 Graphics Processing Unit Architecture CPUs vsgpus AMD s ATI RADEON 2900 Programming Brook+, CAL, ShaderAnalyzer Architecture Challenges Accelerated

More information

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Exploring System Coherency and Maximizing Performance of Mobile Memory Systems Shanghai: William Orme, Strategic Marketing Manager of SSG Beijing & Shenzhen: Mayank Sharma, Product Manager of SSG ARM Tech

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

New Technologies for UAV/UGV

New Technologies for UAV/UGV Qualcomm Research New Technologies for UAV/UGV Charles Bergan VP, Engineering Qualcomm Research Qualcomm Technologies, Inc. 2013-2016 QUALCOMM Incorporated and/or its subsidiaries. All rights reserved

More information

Intel CoFluent Studio in Digital Imaging

Intel CoFluent Studio in Digital Imaging Intel CoFluent Studio in Digital Imaging Sensata Technologies Use Case Sensata Technologies www.sensatatechnologies.com Formerly Texas Instruments Sensors & Controls, Sensata Technologies is the world

More information

Il pensiero parallelo: Una storia di innovazione aziendale

Il pensiero parallelo: Una storia di innovazione aziendale Il pensiero parallelo: Una storia di innovazione aziendale Maria Teresa Gatti Scienzazienda Trento, 8 Maggio 2006 Overview ST is one of the largest Worldwide Semiconductors provider, with products ranging

More information

Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor. NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis

Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor. NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis Mapping the AVS Video Decoder on a Heterogeneous Dual-Core SIMD Processor NikolaosBellas, IoannisKatsavounidis, Maria Koziri, Dimitris Zacharis University of Thessaly Greece 1 Outline Introduction to AVS

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Adaptive Voltage Scaling (AVS) Alex Vainberg   October 13, 2010 Adaptive Voltage Scaling (AVS) Alex Vainberg Email: alex.vainberg@nsc.com October 13, 2010 Agenda AVS Introduction, Technology and Architecture Design Implementation Hardware Performance Monitors Overview

More information