Test and Reliability of Emerging Non-Volatile Memories

Size: px
Start display at page:

Download "Test and Reliability of Emerging Non-Volatile Memories"

Transcription

1 Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France

2 Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms and Design-for-Test Reliability Concerns Discussion 1

3 Introduction Traditional Computing Main Memory ALU Registers R L1,L2 Main Storage SRAM DRAM Flash CU 2

4 Introduction SRAM VQB [V] V Q [V] Emerging Memory Technologies Emerging Computing Paradigms DRAM 1,450nm Burj Khalifa 828m 10,000,000 1,000, ,000 Transistors (k) Clock Speed (MHz) Power (W) Perf/Clock (ILP) Transistor count rising 58nm Aspect ratio: m Aspect ratio: 6 10,000 1,000 Clock Speed Flattening ONO Tunnel Oxide Source n+ Flash WL P- Substrate Drain n+ Control Gate Floating Gate BL Power limits Instr./Clock flattening 3

5 Resistive Memory Types Phase Change Memory Resistive RAM Spin-Transfer Torque RAM 4

6 Resistive Memory Types STT device 5

7 Resistive Memory Types Resistive Device Corresponding binary oxide with bi-stable resistive switching Metal used for electrodes 6

8 Resistive Memory Types Resistive Device 7

9 Resistive Memory Types PC Device Crystalline State Amorphous State Electrode Heater Chalcogenide Glass (GeSbTe) Programmable Volume Current (ma) READ PROGRAM SET RESET ON OFF V T Crystalline Amorphous PCM Voltage (V) 8

10 Resistive Memory Array Architecture a) Crossbar Resistive Memory Array Word Lines (WL) b) Resistive Memory Array with 1T1R Bit-Cell Source Lines (SL) Word Lines (WL) Bit Lines (BL) 9

11 Resistive Memory Array Architecture 10

12 Main Characteristics of Resistive Memories 11

13 Ideal case Param2 Extreme variability & Defects Param2 Fail Fail OK Param1 Param1 Environmental conditions & noise Param2 Stress & Aging Param2 Fail Fail Param1 Param1 12

14 Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms and Design-for-Test Reliability Concerns Discussion 13

15 STT-MRAM fabrication and defects a) Fabrication steps: process schematic BL Non-standard Process (b) Lumped resistive open defects to model the physical defects in RRAM/STT-MTRAM cell BL MTJ / ResistiveMTJ Storage Standard Process Df5 via MTJ / MTJ Resistive Storage metal SL Df3 WL Df2 WL Gate Source Df4 Drain Df1 SL Df3 Df5 Df4, Df5 14

16 RRAM fabrication and defects Bottom electrode deposition affects the forming process can result in an open circuit like behavior. Resistive switching material deposition can cause defects such as thick or thin localized spots. Non-standard Process Standard Process SL Source MTJ / Resistive Storage Memristor BL via metal WL Gate Drain Df5 MTJ / Memristor e Storage Df3 Df1 BL Df4 Df2 WL SL Capping layer deposition can lead to large variations in the characteristics of the forming process and in the efficiency of the switching process. Top electrode deposition might induce parameter variations and defects. Pillar etching Improper etching causes wide resistance variations and resistive defects (shunt and contact). 15

17 Fault modeling STT-MRAM RRAM PCRAM SAP, SAAP, TR SA0, SA1, TR SA0, SA1, TR Incomplete Programming Fault Undefined Write Fault Undefined State Fault Program Disturb Fault Slow Write Fault Slow Write Fault Read Recovery Disturb Fault Read Disturb Fault Read Disturb Fault Read Disturb Fault Incorrect Read Fault Retention Fault 16

18 Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms and Design-for-Test Reliability Concerns Discussion 17

19 Test Algorithms Fault Cause Condition IC/Excitation/Detection SA0 (stuck at reset) Over heating /0 0/w1/r1 SA1 (stuck at set) Over heating /1 0/w0/r0 IPF (Incomplete Programming) Contaminants /1m X/w0/r0m PDF (Proximity Disturb) Thermal Coupling xw0; 0/1m/ 0/w0n/r0m RRDF (Read Recovery Disturb) Read Access Timing 1w0r0/0/1m 1/w0r0/r0m RDF (Read Disturb) Localized Heating 0r0/1m/0 0/r0/r0m *m = marginal state *n = neighbour 18

20 Design-for-Test for USF detection Weak Write Operation Short Write Time Low Write Voltage 19

21 Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms and Design-for-Test Reliability Concerns Discussion 20

22 STT-MRAM Reliability Process Variability R MTJ (KΩ) R MTJ (a.u) R H R H R L R L V DC (a.u.) V DC (V) Cell count Number of Occurrences R L R H R MTJ (KΩ) 0 Cell current I HL I LH I R1 I R0 21

23 STT-MRAM Reliability Thermal Instability Néel-Brown model: Néel relaxation time 22

24 STT-MRAM Reliability Time-Dependent Dielectric Breakdown 23

25 STT-MRAM Reliability 24

26 RRAM Reliability Process Variability 25

27 RRAM Reliability Endurance Degradation Over-SET The conductive path generated during the SET operation is larger than nominal à the path rupture difficult in RESET Over-RESET The tunneling gap resulting during the RESET operation is larger than nominal à conductive path formation difficult in SET 26

28 RRAM Reliability 27

29 PCM Reliability Resistance Drift Structural Relaxation (SR) thermally activated process local rearrangement of the atomic structure of the device in amorphous state. 28

30 PCM Reliability 29

31 Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms and Design-for-Test Reliability and Design-for-Reliability Discussion 30

32 Discussions envm Issues Main Trend Main Application PCM Large Write Current Modifying the cell structure Main Memories Device Scaling Data Stability RRAM Variability of Switching Controlling the filament fluctuation Data Storage Material Selection Endurance Cycles STT-MRAM High Current Densities Reduce latency and write power Cache and Main Memory Integration Density 31

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Recent Development and Progress in Nonvolatile Memory for Embedded Market

Recent Development and Progress in Nonvolatile Memory for Embedded Market Recent Development and Progress in Nonvolatile Memory for Embedded Market Saied Tehrani, Ph.D. Chief Technology Officer, Spansion Inc. July 11, 2012 1 Outline Market Trend for Nonvolatile Memory NOR Flash

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Fault Injection Attacks on Emerging Non-Volatile Memories

Fault Injection Attacks on Emerging Non-Volatile Memories Lab of Green and Secure Integrated Circuit Systems (LOGICS) Fault Injection Attacks on Emerging Non-Volatile Memories Mohammad Nasim Imtiaz Khan and Swaroop Ghosh School of Electrical Engineering and Computer

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec

Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec Phase Change Memory and its positive influence on Flash Algorithms Rajagopal Vaideeswaran Principal Software Engineer Symantec Agenda Why NAND / NOR? NAND and NOR Electronics Phase Change Memory (PCM)

More information

Breaking the Memory Bottleneck in Computing Applications with Emerging Memory Technologies: a Design and Technology Perspective

Breaking the Memory Bottleneck in Computing Applications with Emerging Memory Technologies: a Design and Technology Perspective Breaking the Memory Bottleneck in Computing Applications with Emerging Memory Technologies: a Design and Technology Perspective M. HARRAND CEA-LIST, LABORATOIRE INFRASTRUCTURE ET ATELIER LOGICIEL SUR PUCE,

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Agenda Current solutions Why MRAM? What is MRAM? History How it works Comparisons Outlook Current Memory Types Memory Market primarily consists

More information

A Step Ahead in Phase Change Memory Technology

A Step Ahead in Phase Change Memory Technology A Step Ahead in Phase Change Memory Technology Roberto Bez Process R&D Agrate Brianza (Milan), Italy 2010 Micron Technology, Inc. 1 Outline Non Volatile Memories Status The Phase Change Memories An Outlook

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab Flash Memories Ramin Roosta Dept. of Computer Engineering EE 595 EDA / ASIC Design Lab Content Non-volatile memories Flash applications Industry standards Architectures Main reliability issues New cells

More information

Information Storage and Spintronics 10

Information Storage and Spintronics 10 Information Storage and Spintronics 10 Atsufumi Hirohata Department of Electronic Engineering 09:00 Tuesday, 30/October/2018 (J/Q 004) Quick Review over the Last Lecture Flash memory : NAND-flash writing

More information

Reconfigurable RRAM-based computing: A Case study for reliability enhancement

Reconfigurable RRAM-based computing: A Case study for reliability enhancement Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-1-2012 Reconfigurable RRAM-based computing: A Case study for reliability enhancement Matthew Catanzaro Follow

More information

Steven Geiger Jackson Lamp

Steven Geiger Jackson Lamp Steven Geiger Jackson Lamp Universal Memory Universal memory is any memory device that has all the benefits from each of the main memory families Density of DRAM Speed of SRAM Non-volatile like Flash MRAM

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero High Density, High Reliability Carbon Nanotube NRAM Thomas Rueckes CTO Nantero Nantero Overview Founded in 2001 to develop nonvolatile memory using carbon nanotubes (CNT) for high density standalone and

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable. PLDs, ASICs and FPGAs FPGA definition: Digital integrated circuit that contains configurable blocks of logic and configurable interconnects between these blocks. Key points: Manufacturer does NOT determine

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Future computer Architectures: Computing in Memory

Future computer Architectures: Computing in Memory Future computer Architectures: Computing in Memory Said Hamdioui Delft University of Technology The Netherlands ASCI Spring School on Heterogeneous Computing Systems May 29 - June 1, 2017 1 Outline Motivation

More information

Developing a Prototyping Board for Emerging Memory

Developing a Prototyping Board for Emerging Memory Developing a Prototyping Board for Emerging Memory 2013. 10. 25 Sungjoo Yoo Embedded System Architecture Lab. POSTECH Introduction scaling problem [ITRS, 2012] Year 2012 2013 2014 2015 2016 2017 2018 2019

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 28: DRAM & Flash Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Review of Last Lecture

More information

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash?

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Dr. Mostafa Abdulla High-Speed Engineering Sr. Manager, Micron Marc Greenberg Product Marketing Director, Cadence August 19, 2010 Flash Memory

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Semiconductor Memory Storage (popular types)

Semiconductor Memory Storage (popular types) Semiconductor Memory Storage (popular types) Volatile Semiconductor Memory Non-Volatile RAM DRAM SRAM Floating Gate Nitride Emerging ROM & Fuse Polymer NV Ram Ferro- Magnetic Phase Unified Mem electric

More information

Embedded Memory Alternatives

Embedded Memory Alternatives EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 26: Embedded Memory - Flash Slides Courtesy of Randy McKee, TI Embedded Memory Alternatives Courtesy Randy McKee, TI 2 1 3 4 2 5 SRAM 3

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

Scalable High Performance Main Memory System Using PCM Technology

Scalable High Performance Main Memory System Using PCM Technology Scalable High Performance Main Memory System Using PCM Technology Moinuddin K. Qureshi Viji Srinivasan and Jude Rivers IBM T. J. Watson Research Center, Yorktown Heights, NY International Symposium on

More information

The Pennsylvania State University The Graduate School MODELING, CIRCUIT DESIGN, AND MICROARCHITECTURAL OPTIMIZATION OF EMERGING RESISTIVE MEMORY

The Pennsylvania State University The Graduate School MODELING, CIRCUIT DESIGN, AND MICROARCHITECTURAL OPTIMIZATION OF EMERGING RESISTIVE MEMORY The Pennsylvania State University The Graduate School MODELING, CIRCUIT DESIGN, AND MICROARCHITECTURAL OPTIMIZATION OF EMERGING RESISTIVE MEMORY A Dissertation in Computer Science and Engineering by Cong

More information

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group envm in automotive: Outline marketing requirements

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects M. Durlam, P. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. Engel, N. Rizzo, G. Grynkewich, B.

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE24 - Spring 20 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework due on Wednesday Quiz # next Monday, March 7 2 Outline Last lecture Variability This lecture SRAM 3 Practical

More information

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Memory in Embedded Systems Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Hardware platform architecture Traditional Memory Hierarchies Why SRAM

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM)

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) EMBEDDED SRAM & NVM LOGIC LIBRARIES EMBEDDED T&R MEMORY DEVELOPMENT SW INTERFACE IP Agenda Applications Requiring Standard CMOS NVM

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS

EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory: White Paper EXTREMELY LOW-POWER AI HARDWARE ENABLED BY CRYSTALLINE OXIDE SEMICONDUCTORS Semiconductor Energy Laboratory (SEL): Extremely low-power AI chips can be built

More information

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Guangyu Sun 1, Yaojun Zhang 2, Yu Wang 3, Yiran Chen 2 1 Center for Energy-efficient Computing and Applications, Peking University

More information

COMPRESSION ARCHITECTURE FOR BIT-WRITE REDUCTION IN NON-VOLATILE MEMORY TECHNOLOGIES. David Dgien. Submitted to the Graduate Faculty of

COMPRESSION ARCHITECTURE FOR BIT-WRITE REDUCTION IN NON-VOLATILE MEMORY TECHNOLOGIES. David Dgien. Submitted to the Graduate Faculty of COMPRESSION ARCHITECTURE FOR BIT-WRITE REDUCTION IN NON-VOLATILE MEMORY TECHNOLOGIES by David Dgien B.S. in Computer Engineering, University of Pittsburgh, 2012 Submitted to the Graduate Faculty of the

More information

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices Instructor: Professor Jim Plusquellic Programmable Logic Devices Text: The Design Warrior s Guide to FPGAs, Devices, Tools and Flows, Clive "Max" Maxfield, ISBN: 0-7506-7604-3 Modeling, Synthesis and Rapid

More information

MRAM - present state-of and future challenges

MRAM - present state-of and future challenges MRAM - present state-of of-the-art and future challenges Dr G. Pan CRIST School of Computing, Communication & Electronics Faculty of Technology, University of Plymouth, Plymouth, PL4 8AA, UK Outline The

More information

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM)

Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy Outline. Solid-state disk (SSD) Storage class memory (SCM) Beyond NVMs Daniele Ielmini DEI - Politecnico di Milano, Milano, Italy ielmini@elet.polimi.it Outline Storage applications Solid-state disk (SSD) Storage class memory (SCM) Logic applications: Crossbar

More information

Deep Sub-Micron Cache Design

Deep Sub-Micron Cache Design Cache Design Challenges in Deep Sub-Micron Process Technologies L2 COE Carl Dietz May 25, 2007 Deep Sub-Micron Cache Design Agenda Bitcell Design Array Design SOI Considerations Surviving in the corporate

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Jongyeon Kim, Bill Tuohy, Cong Ma, Won Ho Choi, Ibrahim Ahmed, David Lilja, and Chris H. Kim University of Minnesota Dept. of ECE 1 Overview

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 377-391 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3946 Novel Cell Array Noise Cancelling Design Scheme for Stacked Type MRAM

More information

The Zen of Nonvolatile Memories

The Zen of Nonvolatile Memories 47.3 The Zen of Nonvolatile Memories Erwin J. Prinz Freescale Semiconductor, Inc. 6501 William Cannon Drive West Austin, Texas 78735, U.S.A. (512) 895 8443 Erwin.Prinz@Freescale.com ABSTRACT Silicon technology

More information

EMERGING NON VOLATILE MEMORY

EMERGING NON VOLATILE MEMORY EMERGING NON VOLATILE MEMORY Innovative components for neuromorphic architecture Leti, technology research institute Contact: leti.contact@cea.fr Neuromorphic architecture Brain-inspired computing has

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Comparative Analysis of Contemporary Cache Power Reduction Techniques

Comparative Analysis of Contemporary Cache Power Reduction Techniques Comparative Analysis of Contemporary Cache Power Reduction Techniques Ph.D. Dissertation Proposal Samuel V. Rodriguez Motivation Power dissipation is important across the board, not just portable devices!!

More information

Numonyx Company Overview. Non-Volatile Memory Vision (R.Bez)

Numonyx Company Overview. Non-Volatile Memory Vision (R.Bez) Numonyx Company Overview Non-Volatile Memory Vision (R.Bez) Announce the intent to form New Global Memory Company May 22 nd, 2007- Francisco Partners, Intel and ST announce intent to form a new global

More information

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories

Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Scalable Many-Core Memory Systems Lecture 3, Topic 2: Emerging Technologies and Hybrid Memories Prof. Onur Mutlu http://www.ece.cmu.edu/~omutlu onur@cmu.edu HiPEAC ACACES Summer School 2013 July 17, 2013

More information

CSE140: Components and Design Techniques for Digital Systems. Register Transfer Level (RTL) Design. Tajana Simunic Rosing

CSE140: Components and Design Techniques for Digital Systems. Register Transfer Level (RTL) Design. Tajana Simunic Rosing CSE140: Components and Design Techniques for Digital Systems Register Transfer Level (RTL) Design Tajana Simunic Rosing RTL Design Process Example: Simple data encryption/decryption device B =1, set offset

More information

CSE140: Components and Design Techniques for Digital Systems. Register Transfer Level (RTL) Design. Tajana Simunic Rosing

CSE140: Components and Design Techniques for Digital Systems. Register Transfer Level (RTL) Design. Tajana Simunic Rosing CSE140: Components and Design Techniques for Digital Systems Register Transfer Level (RTL) Design Tajana Simunic Rosing Welcome to CSE 140! Where we are going today: RTL examples, Memory Upcoming: HW6

More information

RTL Design (2) Memory Components (RAMs & ROMs)

RTL Design (2) Memory Components (RAMs & ROMs) RTL Design (2) Memory Components (RAMs & ROMs) Memory Components All sequential circuit have a form of memory Register, latches, etc However, the term memory is generally reserved for bits that are stored

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Don t Forget the Memory. Dean Klein, VP Memory System Development Micron Technology, Inc.

Don t Forget the Memory. Dean Klein, VP Memory System Development Micron Technology, Inc. Don t Forget the Memory Dean Klein, VP Memory System Development Micron Technology, Inc. Memory is Everywhere 2 One size DOES NOT fit all 3 Question: How many different memories does your computer use?

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

NAND Flash Basics & Error Characteristics

NAND Flash Basics & Error Characteristics NAND Flash Basics & Error Characteristics Why Do We Need Smart Controllers? Thomas Parnell, Roman Pletka IBM Research - Zurich Santa Clara, CA 1 Agenda Part I. NAND Flash Basics Device Architecture (2D

More information

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013 ABSTRACT Title of dissertation: TECHNOLOGY IMPLICATIONS FOR LARGE LAST-LEVEL CACHES Mu-Tien Chang Doctor of Philosophy, 3 Dissertation directed by: Professor Bruce Jacob Department of Electrical and Computer

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information