Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul

Size: px
Start display at page:

Download "Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul"

Transcription

1 Maximize energy efficiency in a normally-off system using NVRAM Stéphane Gros Yeter Akgul

2 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

3 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

4 Lab spin-off Incorporated people (14 technical) IP Fabless Non volatile Semiconductor IP esttram (emram) ereram (erram) May 31,

5 Value proposition Subsystem Processor IP (non volatile) Software IP (drivers, apps) Memory IP (non volatile compilers) evaderis-powered Customer chip Power (active & standby) Flexibility (hardware & software) Costs (density & process) May 31,

6 Positioning Autonomy Simple Meter 10 yrs Industrial Meter Secure NFC Lifetime Power limitation Miniaturization Low cost Computing Amount of Data Pacemaker Geo Health Monitoring Video Surveillance Ear for industry 1 wk Wearable (consumer) Performance Intelligence May 31,

7 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

8 Average power consumption (arbitrary unit) The issue 10% active 90% standby Performances (computing, amount of data) Autonomy (battery life) Standby power consumption Active power consumption Cell phone dilemma Increasingly powerful but less and less portable! Data/App retention Network search Today Complexity (years 2000 to 2020) May 31,

9 MCU level CPU, peripherals Memories, up to 96% of area, 50% of power consumption for advanced designs SOC Costly And Complex MCU May 31,

10 Board level Connected Object State of the art Connected Object Powered by evaderis Extended lifetime (10X) Energy Energy Battery Sensor Wireless Controller MCU wireless transmission Savings ~10X Energy Battery Sensor Wireless Controller X less data send to the cloud evaderispowered Customer Chip Off-Chip Processing-Storage standby On-Chip Processing-Storage Performances 10% Autonomy Performances Autonomy May 31,

11 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

12 Memory comparison 1/3 eflash/eee esram esttram erram (Ox) Non-volatile Yes No Yes Yes Compatible with front end logic No FinFET, FDSOI Yes Yes Yes Scalable Sub-28nm? Yes (size?) Yes Yes Cell size (density F2) (>30 for eee) (HD/LP) Access time Write/erase process Write/erase time ns (not destructive) byte/block/page level 1us/10ms (erase) (page, byte level) <1ns (not destructive) 2-10ns (not destructive) 10ns (not destructive) bit level bit level bit level <1ns 2-10ns (bit level) 10-50ns (bit level) Endurance > Array standby current µA/Mb(25C) 0 0 May 31,

13 Memory comparison 2/3 Storage constant data (1Mb/1s) Writing NOR Leakage SRAM Writing SRAM (20-25µA/Mb) Writing MRAM A MRAM MRAM vs. SRAM: A SRAM t 100x more energy for writing in MRAM 0 leakage at standby mode (MRAM off) ~4x less area MRAM t May 31,

14 Memory comparison 3/3 enor FLASH/EE OTP/MTP esram/cmos Versatile esttram May 31,

15 STT-RAM Design Space HD STTRAM Area optimized Access time optimized Write power optimized (in case of data storage) Architectures HP STTRAM R/W speed optimized STT Register Multi-bits Logic synthesis friendly Area (peripherals) optimized Restore or save optimized MTJ process Fast/LP Write Fast/LP Read Nominal Med Ret/T High Ret/T Diameter (sizing) LOW LOW LOW LOW HIGH Retention (Ic) LOW MED MED HIGH HIGH Planar polarizer YES MED MED NO NO Damping LOW MED MED HIGH HIGH RA/TMR LOW HIGH MED HIGH MED Many process and architectures tradeoff are possible MTJ process (design param.) May 31,

16 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

17 Non-Volatile System CPU Fetch Decode Code (eflash) UART GPIO IOs Execute Write-Back Data (SRAM) I2C SPI System bus (AHB) Bridge Peripheral bus (APB) Debug DMA Storage (external Flash) PMU Timers May 31,

18 HP controller Non-Volatile System Flexible data/code partitioning CPU Fetch Decode Execute Code & Data UART GPIO I2C IOs Write-Back SPI System bus (AHB) Bridge Peripheral bus (APB) Debug DMA Storage (external Flash) PMU Timers May 31,

19 HP controller Non-Volatile System Flexible data/code partitioning CPU Fetch Decode Execute Code & Data UART GPIO I2C IOs Write-Back SPI System bus (AHB) Bridge Peripheral bus (APB) Debug DMA HD controller Storage PMU Timers May 31,

20 HP controller Non-Volatile System C n Instant ON/OFF with context saving Instant context switching CPU Fetch Decode Execute Write-Back Flexible data/code partitioning Code & Data System bus (AHB) Bridge Over the air/on site low power code update and calibration UART GPIO I2C SPI IOs Peripheral bus (APB) Debug DMA HD controller Storage PMU Timers Distributed NV registers Zero leakage counter and timestamp May 31,

21 NVP & NVS advantages Increase battery life Instant ON/OFF minimize SoC boot energy loss Normally-OFF no idle power consumption (power down) Intermittent power supply support Harvesting Avoid rollback Simplify sleep modes simplify code dev. Simplify code maintenance Flexible memory partitioning Update over-the-air Multi-application support Instant context switching Reduced context saving overhead May 31,

22 NV CPU and System Power ON / Reset Check BOOT_ST INST_ON From NV (memory, register) Restore Context Periodically On demand (power fail, context change) BOOT_ST=INST_ON INST_OFF_IRQ Architecture states (I/D mem, PC, RF). Microarchitecture states (pipe, RoB, queue, map table ) Save Context To NV (memory, register) Selective/Compression Begin User Application CURRENT Continue User Application Power OFF NULL TRUE Reset INST_ON_IRQ All or partial processing states? Include configuration states BOOT_ST=NULL May 31,

23 SW retention state Update boot status Boot status Reset Boot CPU Program Exec stack Write context into the stack (GPRs, SPR etc ) State backup Stack pointer + Minimal HW impact QMEM - Requires an API more validation - Requires memory protection - Energy cost state dependent - Duration state dependent Not suitable for RT applications - Complications with caches May 31,

24 HW Retention state Existing approaches: Retention FF: most efficient but largest Scan-chain based approach: uses existing scan-chain hardware minimal area cost but slow and more power consuming Complex (flow/dft) Drowsy state retention: freeze and reduce voltage lowest area cost but least efficient Complex power management (analog) Limitations: Leakage overhead Not power failure tolerant Area overhead HW impact + controller extra verification May 31,

25 HW Retention state Our approach: NV-FF (extend Retention FF) VDDA VSSA VDD SAVE D VSS RESTORE Q VDD SAVE D VSS RESTORE Q VDD D VSS Q Retention FF Advantages over existing approach: Limited area overhead No extra leakage Power failure tolerant NV FF Ret. FF replacement Efficiency NV FF Low activity profile Partial vs Full replacement Reduces area and energy overhead Requires detailed knowledge of the design Find best trade-off Cost Complexity May 31,

26 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

27 Hybridization HP STTRAM SRAM vs SRAM Zero leakage at bit cell level Immune to power failure Immune to SEU vs STTRAM Write/read power Write/read latency (CPU f.) Infinite endurance vs SRAM Write/read power Write/read latency Limited endurance vs STTRAM µa/mb of leakage at RT Not immune to power failure Not immune to SEU «But how to efficiently exchange data between the two technologies with near instant-on/off capabilities?» May 31,

28 NVSRAM CPU CPU SRAM Standby ON STT-MRAM Dynamic Static May 31,

29 NVSRAM level Massives parallels (save/restore) transfers (fast) Hybridization at bit cell level Energy-efficient transfers The largest area / SRAM bit cell/array adaptation Impact on SRAM read/write power and latency Hybridization at IP level Significant parallels (save/restore) transfers (fast) Energy-efficient transfers The smallest area No impact on SRAM Hybridization at system level Limited parallels (save/restore) transfers (slow) Not energy-efficient transfers / complex routing Acceptable area No impact on SRAM May 31,

30 NVSRAM architecture size Internal Wide Bus: Transfers between SRAM and STTRAM Asynchronous Low power High bandwith System Bus: access to SRAM only (hidden STTRAM), CPU/logic frequency May 31,

31 NVSRAM IoT 100 smartphone <0.5% x to 1000x higher endurance than MRAM Memory size: 2048kB Cache size: 128kB SRAM: 1pA/cell MRAM: 100µA@100ns TT/25C May 31,

32 Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31,

33 Conclusion THE TECHNOLOGY Non-Volatile Power efficient Flexible THE SYSTEM Normally-OFF Instant ON/OFF THE CO-DEVELOPMENT Hybrid memory Increase efficiency Find the best trade-off for the application May 31,

34

Digitization of non-volatility Jean-Pascal BOST, CEO

Digitization of non-volatility Jean-Pascal BOST, CEO D a t a - e f f i c i e n t w o r l d Digitization of non-volatility Jean-Pascal BOST, CEO www.evaderis.com Lab spin-off Incorporated 2014 17 people IP Fabless Non volatile Semiconductor IP emram erram

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

Endurance Stretching Flash Memory With Serial SRAM

Endurance Stretching Flash Memory With Serial SRAM Endurance Stretching Flash Memory With Serial SRAM Written by Jonathan Dillon Principal Marketing Engineer Memory Products Division Microchip Technology Inc. Presented by Hardik Patel Principal Applications

More information

Oberon M2M IoT Platform. JAN 2016

Oberon M2M IoT Platform. JAN 2016 Oberon M2M IoT Platform JAN 2016 www.imgtec.com Contents Iot Segments and Definitions Targeted Use Cases for IoT Oberon targeted use cases IoT Differentiators IoT Power Management IoT Security Integrated

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM SEMICON Taipei SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM Joe O Hare, Marketing Director Sanjeev Aggarwal, Ph.D., VP Manufacturing & Process Everspin Company Highlights

More information

Smart Metering Monitoring and Control

Smart Metering Monitoring and Control ANVO- SYSTEMS Smart Metering Monitoring and Control ADVANCED NON- VOLATILE SYSTEMS Non-Volatile nvsram in High Reliable and Resilient Smart Applications With Dresden, Non-Volatile 06.10.2015 nvsram Memories

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

PBLN52832 DataSheet V Copyright c 2017 Prochild.

PBLN52832 DataSheet V Copyright c 2017 Prochild. PBLN52832 DataSheet V1.2.3 Copyright c 2017 Prochild. No part of this publication and modification may be reproduced without the prior written permission of the author. Revision History No Version Date

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be covered in this presentation. 1 Please note that this

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Connect your IoT device: Bluetooth 5, , NB-IoT

Connect your IoT device: Bluetooth 5, , NB-IoT Connect your IoT device: Bluetooth 5, 802.15.4, NB-IoT Prithi Ramakrishnan Arm TechTalk 2017 IoT connectivity technologies Multiple standards, different applications Throughput Unlicensed >100Mbps Wi-Fi

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Copyright 2016 [ARM Inc.] Outline Wearable & IoT Market Opportunity Challenges in Wearables & IoT Market ARM technology tackles

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Can MRAM be a factor for HPC?

Can MRAM be a factor for HPC? IC Power Consumption ITRS roadmap (W/cm2) Can MRAM be a factor for HPC? 1. Introduction 2. Can MRAM help? 3. Which MRAM? Logic is the major issue! Memory Wall High Performance Computing Current HPC! Pétaflops

More information

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585 SmartBond DA14585 Smallest, lowest power and most integrated Bluetooth 5 SoC Connected devices are constantly evolving. New generations appear that are smarter, more full featured and have longer battery

More information

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software!

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software! Summer Training 2016 Advance Embedded Systems Fast track of AVR and detailed working on STM32 ARM Processor with RTOS- Real Time Operating Systems Covering 1. Hands on Topics and Sessions Covered in Summer

More information

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Qingan Li, Jianhua Li, Liang Shi, Chun Jason Xue, Yiran Chen, Yanxiang He City University of Hong Kong University of Pittsburg Outline

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Ivan H. P. Lin ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables &

More information

Ultra Low Power Microcontroller - Design Criteria - June 2017

Ultra Low Power Microcontroller - Design Criteria - June 2017 Ultra Low Power Microcontroller - Design Criteria - June 2017 Agenda 1. Low power technology features 2. Intelligent Clock Generator 3. Short wake-up times 4. Intelligent memory access 5. Use case scenario

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

Introduction to ASIC Design

Introduction to ASIC Design Introduction to ASIC Design Victor P. Nelson ELEC 5250/6250 CAD of Digital ICs Design & implementation of ASICs Oops Not these! Application-Specific Integrated Circuit (ASIC) Developed for a specific application

More information

VertexCom. VC83X0 Product Brief. Version: 0.4 Release Date: June 28, Specifications are subject to change without notice.

VertexCom. VC83X0 Product Brief. Version: 0.4 Release Date: June 28, Specifications are subject to change without notice. VC VC83X0 Product Brief Version: 0.4 Release Date: June 28, 2018 Specifications are subject to change without notice. 2018 This document contains information that is proprietary to Unauthorized reproduction

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Mobile & IoT Market Trends and Memory Requirements

Mobile & IoT Market Trends and Memory Requirements Mobile & IoT Market Trends and Memory Requirements JEDEC Mobile & IOT Forum Daniel Heo ARM Segment Marketing Copyright ARM 2016 Outline Wearable & IoT Market Opportunities Challenges in Wearables & IoT

More information

AN4749 Application note

AN4749 Application note Application note Managing low-power consumption on STM32F7 Series microcontrollers Introduction The STM32F7 Series microcontrollers embed a smart architecture taking advantage of the ST s ART- accelerator

More information

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks Last Time Making correct concurrent programs Maintaining invariants Avoiding deadlocks Today Power management Hardware capabilities Software management strategies Power and Energy Review Energy is power

More information

Low-Power Processor Solutions for Always-on Devices

Low-Power Processor Solutions for Always-on Devices Low-Power Processor Solutions for Always-on Devices Pieter van der Wolf MPSoC 2014 July 7 11, 2014 2014 Synopsys, Inc. All rights reserved. 1 Always-on Mobile Devices Mobile devices on the move Mobile

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

FT6336G. Self-Capacitive Touch Panel Controller INTRODUCTION FEATURES

FT6336G. Self-Capacitive Touch Panel Controller INTRODUCTION FEATURES FT6336G Self-Capacitive Touch Panel Controller INTRODUCTION The FT6336G are single-chip capacitive touch panel controller IC with a built-in 16 bit enhanced Micro-controller unit (MCU).They adopt the self-capacitance

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

Connect Your IoT Device: Bluetooth 5, , NB-IoT

Connect Your IoT Device: Bluetooth 5, , NB-IoT Connect Your IoT Device: Bluetooth 5, 802.15.4, NB-IoT Craig Tou Business Development Manager, Arm Arm Tech Symposia 2017, Taipei IoT Devices - Everything Connects New classes of connectivity for a new

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang,

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang, Network Embedded Systems Sensor Networks Fall 2013 Hardware Marcus Chang, mchang@cs.jhu.edu 1 Embedded Systems Designed to do one or a few dedicated and/or specific functions Embedded as part of a complete

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA Intel Research mote Ralph Kling Intel Corporation Research Santa Clara, CA Overview Intel mote project goals Project status and direction Intel mote hardware Intel mote software Summary and outlook Intel

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Cypress PSoC 6 Microcontrollers

Cypress PSoC 6 Microcontrollers Cypress PSoC 6 Microcontrollers Purpose-Built for the Internet of Things WWW.CYPRESS.COM/PSOC6 Unmatched Solutions for the Internet of Things EMBEDDED IN TOMORROW The IoT is exploding, with more than 30

More information

COL862 - Low Power Computing

COL862 - Low Power Computing COL862 - Low Power Computing Power Measurements using performance counters and studying the low power computing techniques in IoT development board (PSoC 4 BLE Pioneer Kit) and Arduino Mega 2560 Submitted

More information

ARDUINO MEGA INTRODUCTION

ARDUINO MEGA INTRODUCTION ARDUINO MEGA INTRODUCTION The Arduino MEGA 2560 is designed for projects that require more I/O llines, more sketch memory and more RAM. With 54 digital I/O pins, 16 analog inputs so it is suitable for

More information

STM32G0 MCU Series Efficiency at its Best

STM32G0 MCU Series Efficiency at its Best STM32G0 MCU Series Efficiency at its Best Key Messages of STM32G0 Series 2 2 3 Efficient Arm Cortex -M0+ at 64 MHz Compact cost: maximum I/Os count Best RAM/Flash Ratio Smallest possible package down to

More information

Microcontroller systems Lec 2 PIC18LF8722 Microcontroller s s core

Microcontroller systems Lec 2 PIC18LF8722 Microcontroller s s core TKT-3500 Microcontroller systems Lec 2 PIC18LF8722 Microcontroller s s core Erno Salminen Copyright notice Some figures by Robert Reese, from supplementary CD of the course book from PIC18F8722 Family

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

08 - Address Generator Unit (AGU)

08 - Address Generator Unit (AGU) October 2, 2014 Todays lecture Memory subsystem Address Generator Unit (AGU) Schedule change A new lecture has been entered into the schedule (to compensate for the lost lecture last week) Memory subsystem

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Forging a Future in Memory: New Technologies, New Markets, New Applications. Ed Doller Chief Technology Officer

Forging a Future in Memory: New Technologies, New Markets, New Applications. Ed Doller Chief Technology Officer Forging a Future in Memory: New Technologies, New Markets, New Applications Ed Doller Chief Technology Officer Legal Disclaimer INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH NUMONYX PRODUCTS.

More information

AVR Microcontrollers Architecture

AVR Microcontrollers Architecture ก ก There are two fundamental architectures to access memory 1. Von Neumann Architecture 2. Harvard Architecture 2 1 Harvard Architecture The term originated from the Harvard Mark 1 relay-based computer,

More information

TI SimpleLink dual-band CC1350 wireless MCU

TI SimpleLink dual-band CC1350 wireless MCU TI SimpleLink dual-band CC1350 wireless MCU Sub-1 GHz and Bluetooth low energy in a single-chip Presenter Low-Power Connectivity Solutions 1 SimpleLink ultra-low power platform CC2640: Bluetooth low energy

More information

Learning Module 9. Managing the Sensor: Embedded Computing. Paul Flikkema. Department of Electrical Engineering Northern Arizona University

Learning Module 9. Managing the Sensor: Embedded Computing. Paul Flikkema. Department of Electrical Engineering Northern Arizona University Learning Module 9 Managing the Sensor: Embedded Computing Paul Flikkema Department of Electrical Engineering Northern Arizona University Outline Networked Embedded Systems Hardware Software Languages Operating

More information

ARM Processor Architecture

ARM Processor Architecture Chapters 1 and 3 ARM Processor Architecture Embedded Systems with ARM Cortext-M Updated: Monday, February 5, 2018 A Little about ARM The company Originally Acorn RISC Machine (ARM) Later Advanced RISC

More information

Smart Metering Monitoring und Steuerung von Energie-Erzeugung bis Verbrauch

Smart Metering Monitoring und Steuerung von Energie-Erzeugung bis Verbrauch Smart Metering Monitoring und Steuerung von Energie-Erzeugung bis Verbrauch Mit sicheren Nicht-Flüchtigen Speichern von Anvo-Systems Dresden Presenter : Dieter Herrmann Page 1 of 24 Smart Metering Monitoring

More information

Computer Memory. Textbook: Chapter 1

Computer Memory. Textbook: Chapter 1 Computer Memory Textbook: Chapter 1 ARM Cortex-M4 User Guide (Section 2.2 Memory Model) STM32F4xx Technical Reference Manual: Chapter 2 Memory and Bus Architecture Chapter 3 Flash Memory Chapter 36 Flexible

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

Advanced 1 Transistor DRAM Cells

Advanced 1 Transistor DRAM Cells Trench DRAM Cell Bitline Wordline n+ - Si SiO 2 Polysilicon p-si Depletion Zone Inversion at SiO 2 /Si Interface [IC1] Address Transistor Memory Capacitor SoC - Memory - 18 Advanced 1 Transistor DRAM Cells

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

The ARM Cortex-M0 Processor Architecture Part-1

The ARM Cortex-M0 Processor Architecture Part-1 The ARM Cortex-M0 Processor Architecture Part-1 1 Module Syllabus ARM Architectures and Processors What is ARM Architecture ARM Processors Families ARM Cortex-M Series Family Cortex-M0 Processor ARM Processor

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018

The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018 The Software of Things T Y S O N T U T T L E C E O S I L I C O N L A B S A S P E N C O R E C E O S U M M I T S H E N Z H E N 8 N O V E M B E R 2018 Most technology we ve built so far was for the Internet

More information

Product Series SoC Solutions Product Series 2016

Product Series SoC Solutions Product Series 2016 Product Series Why SPI? or We will discuss why Serial Flash chips are used in many products. What are the advantages and some of the disadvantages. We will explore how SoC Solutions SPI and QSPI IP Cores

More information

Remote Keyless Entry In a Body Controller Unit Application

Remote Keyless Entry In a Body Controller Unit Application 38 Petr Cholasta Remote Keyless Entry In a Body Controller Unit Application Many of us know this situation. When we leave the car, with a single click of a remote control we lock and secure it until we

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Grundlagen Microcontroller Memory. Günther Gridling Bettina Weiss

Grundlagen Microcontroller Memory. Günther Gridling Bettina Weiss Grundlagen Microcontroller Memory Günther Gridling Bettina Weiss 1 Lecture Overview Memory Memory Types Address Space Allocation 2 Memory Requirements What do we want to store? program constants (e.g.

More information

Smallest RISC-V Device for Next-Generation Edge Computing

Smallest RISC-V Device for Next-Generation Edge Computing Smallest RISC-V Device for Next-Generation Edge Computing 1 Seiji Munetoh 1, Chitra K Subramanian 2, Arun Paidimarri 2, Yasuteru Kohda 1 IBM Research Tokyo 1 & T.J. Watson Research Center 2 Processor chip

More information

Emerging NVM Memory Technologies

Emerging NVM Memory Technologies Emerging NVM Memory Technologies Yuan Xie Associate Professor The Pennsylvania State University Department of Computer Science & Engineering www.cse.psu.edu/~yuanxie yuanxie@cse.psu.edu Position Statement

More information

Product specification

Product specification MJIOT-AMB-03 Product specification 1 MJIOT-AMB-03module appearance 2 目录 1. Product overview...4 1.1 Characteristic... 5 1.2 main parameters...6 1.2 Interface definition... 7 2. appearance and size... 8

More information

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM Lucian Shifren ARM R&D San Jose CA 1 What is the Internet of Things? Buzzword Trend Convenient Categorization Industrial Consumer

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

WearDrive: Fast and Energy Efficient Storage for Wearables

WearDrive: Fast and Energy Efficient Storage for Wearables WearDrive: Fast and Energy Efficient Storage for Wearables Reza Shisheie Cleveland State University CIS 601 Wearable Computing: A New Era 2 Wearable Computing: A New Era Notifications Fitness/Healthcare

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Productization driven by AI & ML Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Usage from Cloud to Node CLOUD Compute Storage Deep Learning Training Big data processing

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

FT6x06. Self-Capacitive Touch Panel Controller INTRODUCTION FEATURES

FT6x06. Self-Capacitive Touch Panel Controller INTRODUCTION FEATURES Self-Capacitive Touch Panel Controller INTRODUCTION The FT6x06 Series ICs are single-chip capacitive touch panel controller ICs with a built-in 8 bit enhanced Micro-controller unit (MCU).They adopt the

More information

Portable Instrumentation Applications w/ the RL78

Portable Instrumentation Applications w/ the RL78 Portable Instrumentation Applications w/ the RL78 Bill Pratt, VP of Engineering Nu Horizons Electronics Class ID: CL21B Renesas Electronics America Inc. Renesas Technology & Solution Portfolio 2 Bill Pratt:

More information

Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop

Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop Beyond TrustZone Security Enclaves Reed Hinkel Senior Manager Embedded Security Market Develop Part2 Security Enclaves Tech Seminars 2017 Agenda New security technology for IoT Security Enclaves CryptoIsland

More information

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 1 Multilevel Memories Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology Based on the material prepared by Krste Asanovic and Arvind CPU-Memory Bottleneck 6.823

More information

New Embedded NVM architectures

New Embedded NVM architectures New Embedded NVM architectures for Secure & Low Power Microcontrollers Jean DEVIN, Bruno LECONTE Microcontrollers, Memories & Smartcard Group STMicroelectronics 11 th LETI Annual review, June 24th, 2009

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

STM32L4 System operating modes

STM32L4 System operating modes STM32L4 System operating modes Typical application profile 2 Tperiod Tperiod I DD Process IRQ ACTIVE IRQ ACTIVE OFF STARTUP INITIALIZATION TASKS Tasks TASKS INACTIVE INACTIVE INACTIVE Application phases:

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

High-Performance 32-bit

High-Performance 32-bit High-Performance 32-bit Microcontroller with Built-in 11-Channel Serial Interface and Two High-Speed A/D Converter Units A 32-bit microcontroller optimal for digital home appliances that integrates various

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

A 1-GHz Configurable Processor Core MeP-h1

A 1-GHz Configurable Processor Core MeP-h1 A 1-GHz Configurable Processor Core MeP-h1 Takashi Miyamori, Takanori Tamai, and Masato Uchiyama SoC Research & Development Center, TOSHIBA Corporation Outline Background Pipeline Structure Bus Interface

More information

Optimize your system designs using Flash memory

Optimize your system designs using Flash memory Optimize your system designs using Flash memory Howard Cheng Sr. Segment Applications Manager Embedded Solutions Group, Micron 2012 Micron Technology, Inc. All rights reserved. Products are warranted only

More information

Dummy FTL. Dong-Yun Computer Systems Laboratory Sungkyunkwan University

Dummy FTL. Dong-Yun Computer Systems Laboratory Sungkyunkwan University Dummy FTL Dong-Yun Lee(dongyun.lee@csl.skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Troubleshooting Q. Compile errors occured in eabi-related libraries A. Modify all

More information

Proven 8051 Microcontroller Technology, Brilliantly Updated

Proven 8051 Microcontroller Technology, Brilliantly Updated Proven 8051 Microcontroller Technology, Brilliantly Updated By: Tom David, Principal Design Engineer, Silicon Labs Introduction The proven 8051 core received a welcome second wind when its architecture

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

Optimization of IoT Sensing Systems Based on Bluetooth Smart SiP Modules. Chris Barratt Insight SiP Sophia Antipolis France October 15 th 2015

Optimization of IoT Sensing Systems Based on Bluetooth Smart SiP Modules. Chris Barratt Insight SiP Sophia Antipolis France October 15 th 2015 Optimization of IoT Sensing Systems Based on Bluetooth Smart SiP Modules Chris Barratt Insight SiP Sophia Antipolis France October 15 th 2015 Agenda Insight SiP Introduction Bluetooth Smart Principles

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems Designing, developing, debugging ARM and heterogeneous multi-processor systems Kinjal Dave Senior Product Manager, ARM ARM Tech Symposia India December 7 th 2016 Topics Introduction System design Software

More information