System Design. Final Review. ILGWEON KANG

Size: px
Start display at page:

Download "System Design. Final Review. ILGWEON KANG"

Transcription

1 System esign Final Review ILGWEON KANG 1

2 SYSTEM ESIGN: uestion 1: Implement the following algorithm. 2

3 ESIGN A ATA SUBSYSTEM Table to list the instructions and the corresponding components. STATE STATEMENT OPERATION CONTROL 3

4 ESIGN A ATA SUBSYSTEM : If, goto ack 1; The symbol A B means o A and B in parallel. is not data, and is just an input! So, nothing to do. ack is not data, but is an output. So mark it. STATE STATEMENT OPERATION CONTROL ack 1 4

5 SCHEMATIC IAGRAM F ATA SUBSYSTEM Control Unit ack 5

6 ESIGN A ATA SUBSYSTEM : ack 0 A X B Y; ata related statements are in blue font. So, write down your statements and operations. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 6

7 ESIGN A ATA SUBSYSTEM : ack 0 A X B Y; o not think of controls, yet. We will do in the next step. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) B Y B Load(Y) 7

8 ESIGN A ATA SUBSYSTEM : ack 0 A X B Y; Assign control signals. Then you are done with. Move to. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1 B Y B Load(Y) C1 = 1 8

9 SCHEMATIC IAGRAM F ATA SUBSYSTEM X 8 Reg A L 8 A C0 Y Reg B 8 8 L B Control Unit C0 C1 ack C1 9

10 ESIGN A ATA SUBSYSTEM : If ( A > 0 ), goto ; You have A > 0. But, you don t need control signal since it does not assign a new value to your variable. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) 10

11 SCHEMATIC IAGRAM F ATA SUBSYSTEM X 8 Reg A L C0 8 A 0 (GN) Comparator A 0 A > 0 Y Reg B 8 8 L B Control Unit C0 C1 ack C1 11

12 ESIGN A ATA SUBSYSTEM : A A + B goto ; A A + B: To assign A + B into A. Note you already have the data path A X. So, you should have MUX for X and A+B. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C2 = 1 12

13 ESIGN A ATA SUBSYSTEM : A A + B goto ; on t forget you should upload your new A to the L register. on t forget you should MUX loading X to A. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 13

14 SCHEMATIC IAGRAM F ATA SUBSYSTEM X 8 8 2:1MUX 0 1 Sel C2 8 Reg A L C0 Adder A 8 A 0 (GN) Comparator A 0 A > 0 A+B B Y Reg B 8 8 L B A > 0 Control Unit C0 C1 C2 ack C1 14

15 ESIGN A ATA SUBSYSTEM : Z A ack 1 goto ; Wire your final output A to Z. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 15

16 SCHEMATIC IAGRAM F ATA SUBSYSTEM X 8 8 2:1MUX 0 1 Sel C2 8 Reg A L C0 Adder A A 8 0 (GN) 8 Comparator A 0 A > 0 Z A+B B Y Reg B 8 8 L B A > 0 Control Unit C0 C1 C2 ack C1 16

17 ESIGN A CONTROL SUBSYSTEM Now it is the second half. The second part is very easy and systematic. (1) raw State iagram. (2) Table for Control Subsystem. (3) raw the Logic iagram. 17

18 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM The number of States is Given: 5. 18

19 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM : If, goto ack 1; Ignore ack since it does not determine state transition. 19

20 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM : ack 0 A X B Y; NO CONTROL STATEMENT. Transfer to directly. 20

21 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM : If ( A > 0 ), goto ; A>0 A 0 Or, just say, (A>0). 21

22 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM : A A + B goto ; NO CONTROL STATEMENT. Transfer to directly. A>0 A 0 22

23 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM : Z A ack 1 goto ; NO CONTROL STATEMENT. Transfer to directly. A>0 A 0 23

24 ESIGN A CONTROL SUBSYSTEM: STATE IAGRAM Final Answer: State iagram A>0 A 0 24

25 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL raw the skeleton first. Based on #STATES (i.e., 5) and #CONTROLS and #OUTPUTS (i.e., 4). STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. C0 C1 C2 ACK 25

26 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Write down what is each control. C0 C1 C2 ACK C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. 26

27 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Fill-in 1s first. From C0. C0 C1 C2 ACK C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 27

28 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Fill-in 1s first. From C0. C0 C1 C2 ACK 1 1 C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 28

29 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Fill-in 1s first. From C0. C0 C1 C2 ACK C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 29

30 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL on t forget both 0 and 1 should be filled in for MUX. C0 C1 C2 ACK C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 30

31 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL on t forget both 0 and 1 should be filled in for MUX. C0 C1 C2 ACK X X 1 1 X C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. For the column C2, i.e., MUX, you can put don t care s to the empty entries. But, it is OK to fill-in with 0s for the empty entries in column C2 since it will eventually help to minimize your logic diagram, i.e., gate. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 31

32 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Fill-in 1s first. From C0. C0 C1 C2 ACK X X 1 1 X 1 C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 32

33 ESIGN A CONTROL SUBSYSTEM: TABLE F CONTROL Fill 0s. The final answer: Table for Control Subsystem. C0 C1 C2 ACK 0 0 X X X 1 C0: Load register A. If 1, then loading. C1: Load register B. If 1, then loading. C2: MUXing A A + B (if 1) or A X (if 0). ACK: Output. STATE STATEMENT OPERATION CONTROL ack 1 ack 0 A X A Load(X) C0 = 1, C2 = 0 B Y B Load(Y) C1 = 1 A > 0 Comp(A, 0) A A + B A ß Add(A, B) C0 = 1, C2 = 1 Z A Wires ack 1 Table from ata Subsystem. 33

34 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM THREE KEY FACTS TO REMEMBER. (Be ready your state diagram and table for control subsystem) A. If a state is receiving more than one arrows, then you need gate before - FF s input. A>0 A 0 B. If a state is sending more than one arrows, then you need AN gates after - FF s output. C. If a control signal is enabled more than one states, then you need gate to generate the control signal. (From P45) C0 C1 C2 ACK 0 0 X X X 1 34

35 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM You need the same number of -FFs with #states. A>0 A 0 35

36 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two incoming and two outgoing arrows. A>0 A 0 AN AN 36

37 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two outgoings by and. A>0 A 0 AN AN 37

38 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two incomings from ( ) and. A>0 A 0 AN AN 38

39 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM is receiving one incoming and one outgoing. A>0 A 0 AN AN 39

40 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two incoming and two outgoing arrows. A>0 A 0 AN AN AN AN 40

41 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two outgoings by (A>0) and (A>0). A>0 A 0 AN AN (A>0) AN (A>0) AN 41

42 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM has two incomings from and. A>0 A 0 AN AN (A>0) AN (A>0) AN 42

43 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM from with (A>0) and to, one in and one out. A>0 A 0 AN AN (A>0) AN (A>0) AN 43

44 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM from with (A>0) and to, one in and one out. A>0 A 0 AN AN (A>0) AN (A>0) AN 44

45 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM NOT FINISHE! We should generate control signals! AN AN (A>0) AN C0 C1 C2 ACK 0 0 X (A>0) AN 0 0 X X 1 45

46 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM C0 is 1, when and. AN AN C0 (A>0) AN C0 C1 C2 ACK 0 0 X (A>0) AN 0 0 X X 1 46

47 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM ACK is 1, when and. ACK AN AN C0 (A>0) AN C0 C1 C2 ACK 0 0 X (A>0) AN 0 0 X X 1 47

48 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM C1 is 1, when. C2 is 1, when. ACK C2 AN AN C0 C1 (A>0) AN C0 C1 C2 ACK 0 0 X (A>0) AN 0 0 X X 1 48

49 ESIGN A CONTROL SUBSYSTEM: LOGIC IAGRAM ONE!! ACK C2 AN AN C0 C1 (A>0) AN (A>0) AN 49

50 Appendix ILGWEON KANG 50

51 APPENIX: INCREMENT, ECREMENT, AN SHIFT In ata Subsystem, What if you need to implement (1) Increment (INC), i.e., A A+1, or (2) ecrement (EC), i.e., A A-1, or (3) Shift to Right (SHR), i.e., A Shift(A, R, 1), or (4) Shift to Left (SHL), i.e., A Shift(A, L, 1)?? There are two ways!! And both are good to use. 51

52 SCHEMATIC IAGRAM F ATA SUBSYSTEM 1. Using MUX and a separated block (INC, EC, SHR, or SHL). A X.. A A+1 or Inc(A) X 8 8 2:1MUX 0 1 Sel Reg A 8 8 L A C1 C0 Both C1 and C0 should be 1. So that we can update A. INC INC can be replaced by EC, SHR, or SHL block. 52

53 SCHEMATIC IAGRAM F ATA SUBSYSTEM 2. Using the existing load register by adding the control signal. (INC, EC, SHR, or SHL). A X.. A A+1 or Inc(A) X Reg A A 8 8 L INC INC can be replaced by EC, SHR, or SHL. C0 C1 Only C1 should be enabled. And C0 should be disabled. So that we can prevent from malfunc`oning. 53

54 Good Luck!!! 1. I will upload the slides on the class website. 2. I will upload the previous quarter s HW5 for your practice. 54

ASSIGNMENT ECE514 (COMPUTER ORGANIZATION) ASSIGNMENT NO. 3

ASSIGNMENT ECE514 (COMPUTER ORGANIZATION) ASSIGNMENT NO. 3 ASSIGNMENT ECE514 (COMPUTER ORGANIZATION) ASSIGNMENT NO. 3 This is an individual assignment for ECE514. It carries a mark of 10%. The rubric of marks is given in Appendix 3. This assignment is about designing

More information

Introduction to Verilog

Introduction to Verilog Introduction to Verilog Structure of a Verilog Program A Verilog program is structured as a set of modules, which may represent anything from a collection of logic gates to a complete system. A module

More information

CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL

CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL Introduction to Active-HDL CSE370 TUTORIAL 3 - INTRODUCTION TO USING VERILOG IN ACTIVE-HDL Objectives In this tutorial, you will learn how to write an alternate version of the full adder using Verilog,

More information

CARLETON UNIVERSITY. Laboratory 2.0

CARLETON UNIVERSITY. Laboratory 2.0 CARLETON UNIVERSITY Department of Electronics ELEC 267 Switching Circuits Jan 3, 28 Overview Laboratory 2. A 3-Bit Binary Sign-Extended Adder/Subtracter A binary adder sums two binary numbers for example

More information

Levels in Processor Design

Levels in Processor Design Levels in Processor Design Circuit design Keywords: transistors, wires etc.results in gates, flip-flops etc. Logical design Putting gates (AND, NAND, ) and flip-flops together to build basic blocks such

More information

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 Introduction This Xilinx project introduces the characteristics of the ripple carry adder. From the last project, you learned that

More information

REGISTER TRANSFER LANGUAGE

REGISTER TRANSFER LANGUAGE REGISTER TRANSFER LANGUAGE The operations executed on the data stored in the registers are called micro operations. Classifications of micro operations Register transfer micro operations Arithmetic micro

More information

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Extending Keyless Entry Combinational Logic Design Allows Use of Abstraction Recall

More information

CS 151 Midterm. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Midterm. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Midterm Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 11 pages including this cover. 2. Write down your Student-Id on the top

More information

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1

Verilog Lecture Gandhi Puvvada, USC always statements, Coding a Flip-Flop. blocking and non-blocking assignments. Copyright 2008 Gandhi Puvvada 1 EE201L and EE560 Verilog Lecture by Gandhi Puvvada, USC always statements, t t Coding a Flip-Flop Counters, Basics of Data Path, blocking and non-blocking assignments Copyright 2008 Gandhi Puvvada 1 always

More information

The operations executed on data stored in registers(shift, clear, load, count)

The operations executed on data stored in registers(shift, clear, load, count) 4-4- Register Transfer Language Microoperation The operations executed on data stored in registers(shift, clear, load, count) Internal H/W Organization(best defined by specifying). The set of registers(register

More information

Exp#8: Designing a Programmable Sequence Detector

Exp#8: Designing a Programmable Sequence Detector Exp#8: Designing a Programmable Sequence Detector Objectives Learning how to partition a system into data-path and control unit. Integrating Schematics and Verilog code together Overview In this lab you

More information

Lecture 24: Sequential Logic Design. Let s refresh our memory.

Lecture 24: Sequential Logic Design. Let s refresh our memory. 18 100 Lecture 24: equential Logic esign 15 L24 1 James C. Hoe ept of ECE, CMU April 21, 2015 Today s Goal: tart thinking about stateful stuff Announcements: Read Rizzoni 12.6 HW 9 due Exam 3 on April

More information

structure syntax different levels of abstraction

structure syntax different levels of abstraction This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this This and the next lectures are about Verilog HDL, which, together with another language VHDL, are the most popular hardware languages used in industry. Verilog is only a tool; this course is about digital

More information

For Example: P: LOAD 5 R0. The command given here is used to load a data 5 to the register R0.

For Example: P: LOAD 5 R0. The command given here is used to load a data 5 to the register R0. Register Transfer Language Computers are the electronic devices which have several sets of digital hardware which are inter connected to exchange data. Digital hardware comprises of VLSI Chips which are

More information

Contents. Chapter 9 Datapaths Page 1 of 28

Contents. Chapter 9 Datapaths Page 1 of 28 Chapter 9 Datapaths Page of 2 Contents Contents... 9 Datapaths... 2 9. General Datapath... 3 9.2 Using a General Datapath... 5 9.3 Timing Issues... 7 9.4 A More Complex General Datapath... 9 9.5 VHDL for

More information

Sign here to give permission for your test to be returned in class, where others might see your score:

Sign here to give permission for your test to be returned in class, where others might see your score: EEL 4712 Midterm 3 Spring 2016 VERSION 1 Name: UFI: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

Homework 3. Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) CSCI 402: Computer Architectures

Homework 3. Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) CSCI 402: Computer Architectures Homework 3 Assigned on 02/15 Due time: midnight on 02/21 (1 WEEK only!) B.2 B.11 B.14 (hint: use multiplexors) 1 CSCI 402: Computer Architectures Arithmetic for Computers (2) Fengguang Song Department

More information

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign I hope you have completed Part 1 of the Experiment. This lecture leads you to Part 2 of the experiment and hopefully helps you with your progress to Part 2. It covers a number of topics: 1. How do we specify

More information

Register Transfer Level

Register Transfer Level Register Transfer Level Something between the logic level and the architecture level A convenient way to describe synchronous sequential systems State diagrams for pros Hierarchy of Designs The design

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

Lab 5: Arithmetic Logic Unit (ALU)

Lab 5: Arithmetic Logic Unit (ALU) Lab 5: Arithmetic Logic Unit (ALU) September 29, 2009 Contents 1 Prelab 4 2 Lab 4 3 Supplementary Material 6 3.1 Verilog................................................. 6 3.1.1 Parameters..........................................

More information

CSE140 L. Instructor: Thomas Y. P. Lee. March 1, Agenda. Computer System Design. Computer Architecture. Instruction Memory design.

CSE140 L. Instructor: Thomas Y. P. Lee. March 1, Agenda. Computer System Design. Computer Architecture. Instruction Memory design. CSE4 L Instructor: Thomas Y. P. Lee March, 26 Agenda Computer System Design Computer Architecture Instruction Memory design Datapath Registers Program Counter Instruction Decoder Lab4 Simple Computer System

More information

Computer Architecture and Organization: L04: Micro-operations

Computer Architecture and Organization: L04: Micro-operations Computer Architecture and Organization: L4: Micro-operations By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com, hafez@research.iiit.ac.in 1 Outlines 1. Arithmetic microoperation 2.

More information

10/5/2016. Review of General Bit-Slice Model. ECE 120: Introduction to Computing. Initialization of a Serial Comparator

10/5/2016. Review of General Bit-Slice Model. ECE 120: Introduction to Computing. Initialization of a Serial Comparator University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Example of Serialization Review of General Bit-Slice Model General model parameters

More information

CHAPTER 4: Register Transfer Language and Microoperations

CHAPTER 4: Register Transfer Language and Microoperations CS 224: Computer Organization S.KHABET CHAPTER 4: Register Transfer Language and Microoperations Outline Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Microoperations

More information

CS 151 Quiz 4. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Quiz 4. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Quiz 4 Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 9 pages including this cover. 2. Write down your Student-Id on the top of

More information

CSE 140 Lecture 16 System Designs. CK Cheng CSE Dept. UC San Diego

CSE 140 Lecture 16 System Designs. CK Cheng CSE Dept. UC San Diego CSE 140 Lecture 16 System Designs CK Cheng CSE Dept. UC San Diego 1 System Designs Introduction Methodology and Framework Components Specification Implementation 2 Introduction Methodology Approach with

More information

Student Name: University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Student Name: University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of alifornia at erkeley ollege of Engineering epartment of Electrical Engineering and omputer Science EES 5 all 2 R. H. Katz IRST MITERM EXMINTION Tuesday, 3 October 2 INSTRUTIONS RE THEM NOW!

More information

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information.

Readings: Storage unit. Can hold an n-bit value Composed of a group of n flip-flops. Each flip-flop stores 1 bit of information. Registers Readings: 5.8-5.9.3 Storage unit. Can hold an n-bit value Composed of a group of n flip-flops Each flip-flop stores 1 bit of information ff ff ff ff 178 Controlled Register Reset Load Action

More information

EE 109L Review. Name: Solutions

EE 109L Review. Name: Solutions EE 9L Review Name: Solutions Closed Book / Score:. Short Answer (6 pts.) a. Storing temporary values in (memory / registers) is preferred due to the (increased / decreased) access time. b. True / False:

More information

CSE 140 Lecture 14 System Design II. CK Cheng CSE Dept. UC San Diego

CSE 140 Lecture 14 System Design II. CK Cheng CSE Dept. UC San Diego CSE 140 Lecture 14 System Design II CK Cheng CSE Dept. UC San Diego 1 Design Process Describe system in programs Data subsystem List data operations Map operations to functional blocks Add interconnect

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

Computer Organization EE 3755 Midterm Examination

Computer Organization EE 3755 Midterm Examination Name Computer Organization EE 3755 Midterm Examination Wednesday, 30 October 2013, 8:30 9:20 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 Exam Total (21 pts) (15 pts)

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Control Unit: Binary Multiplier Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Example: Binary Multiplier Two versions Hardwired control Microprogrammed

More information

11/28/2016. ECE 120: Introduction to Computing. Register Loads Control Updates to Register Values. We Consider Five Groups of LC-3 Control Signals

11/28/2016. ECE 120: Introduction to Computing. Register Loads Control Updates to Register Values. We Consider Five Groups of LC-3 Control Signals University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing LC-3 Control Signals Time to Examine a Processor s Control Signals in Detail Recall

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital hardware modules that accomplish a specific information-processing task. Digital systems vary in

More information

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2 Professor Sherief Reda http://scaleenginbrownedu Electrical Sciences and Computer Engineering School of Engineering Brown University

More information

HOW TO ADD SIGNATURE TO MICROSOFT OFFICE OUTLOOK

HOW TO ADD SIGNATURE TO MICROSOFT OFFICE OUTLOOK HOW TO ADD SIGNATURE TO MICROSOFT OFFICE OUTLOOK QUARTER TO SEMESTER ICON VERSIONS: 2016, 2013 & OFFICE 365 HOW TO SAVE ICON TO COMPUTER Step 1: Open Email containing Quarters to Semesters Icon Click Here

More information

CS/EE Homework 7 Solutions

CS/EE Homework 7 Solutions CS/EE 260 - Homework 7 Solutions 4/2/2001 1. (20 points) A 4 bit twisted ring counter is a sequential circuit which produces the following sequence of output values: 0000, 1000, 1100, 1110, 1111, 0111,

More information

REGISTER TRANSFER AND MICROOPERATIONS

REGISTER TRANSFER AND MICROOPERATIONS REGISTER TRANSFER AND MICROOPERATIONS Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Microoperations Logic Microoperations Shift Microoperations Arithmetic Logic Shift

More information

Verilog 1 - Fundamentals

Verilog 1 - Fundamentals Verilog 1 - Fundamentals FA FA FA FA module adder( input [3:0] A, B, output cout, output [3:0] S ); wire c0, c1, c2; FA fa0( A[0], B[0], 1 b0, c0, S[0] ); FA fa1( A[1], B[1], c0, c1, S[1] ); FA fa2( A[2],

More information

Lec-6-HW-2-digitalDesign

Lec-6-HW-2-digitalDesign Lec-6-HW-2-digitalDesign Reading: PP-chp 3: 3.3 (decoder, mux, FA, PLA) 3.4 (R-S latch, register) 3.5 (memory) 3.6 (sequential machines, FSM) 3.7 (LC-3 datapath) Problems, PP-chp 3: 3.12 3-Dec, show minterm

More information

Abstraction of State Elements. Sequential Logic Implementation. Forms of Sequential Logic. Finite State Machine Representations

Abstraction of State Elements. Sequential Logic Implementation. Forms of Sequential Logic. Finite State Machine Representations Sequential ogic Implementation! Models for representing sequential circuits " Finite-state machines (Moore and Mealy) " epresentation of memory (states) " hanges in state (transitions)! Design procedure

More information

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016 ECE 353 Lab 4 MIDI Receiver in Verilog Professor Daniel Holcomb UMass Amherst Fall 2016 Timeline and Grading for Lab 4 Lectures on 11/15 and 11/17 Due on 12/12 Demos in Duda hall Schedule will be posted

More information

Building Bigger Systems: Hardware Threads

Building Bigger Systems: Hardware Threads ! uilding igger Systems: Hardware Threads Lecture L06 18-4 dvanced igital esign ECE epartment Many elements on Thomas, 2014, used with permission with credit to G. Larson Today We build on our knowledge

More information

C.P.U Organization. Memory Unit. Central Processing Unit (C.P.U) Input-Output Processor (IOP) Figure (1) Digital Computer Block Diagram

C.P.U Organization. Memory Unit. Central Processing Unit (C.P.U) Input-Output Processor (IOP) Figure (1) Digital Computer Block Diagram C.P.U Organization 1.1 Introduction A computer system is sometimes subdivided into two functional entities "Hardware" and "Software". The H/W of the computer consists of all the electronic components and

More information

VHDL Examples Mohamed Zaky

VHDL Examples Mohamed Zaky VHDL Examples By Mohamed Zaky (mz_rasmy@yahoo.co.uk) 1 Half Adder The Half Adder simply adds 2 input bits, to produce a sum & carry output. Here we want to add A + B to produce Sum (S) and carry (C). A

More information

Combinational and sequential circuits (learned in Chapters 1 and 2) can be used to create simple digital systems.

Combinational and sequential circuits (learned in Chapters 1 and 2) can be used to create simple digital systems. REGISTER TRANSFER AND MICROOPERATIONS Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Microoperations Logic Microoperations Shift Microoperations Arithmetic Logic Shift

More information

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period 1-6.1 1-6.2 Spiral 1 / Unit 6 Flip flops and Registers Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput,

More information

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1 Solutions

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1 Solutions CSE 6 Introduction to igital Logic and Computer esign Exam Solutions Jonathan Turner /3/4. ( points) raw a logic diagram that implements the expression (B+C)(C +)(B+ ) directly (do not simplify first),

More information

CSV Roll Documentation

CSV Roll Documentation CSV Roll Documentation Version 1.1 March 2015 INTRODUCTION The CSV Roll is designed to display the contents of a Microsoft Excel worksheet in a Breeze playlist. The Excel worksheet must be exported as

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWare http://ocw.mit.edu 6.004 Computation Structures Spring 2009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. M A S S A C H U S E T T

More information

Spiral 1 / Unit 6. Flip-flops and Registers

Spiral 1 / Unit 6. Flip-flops and Registers 1-5.1 Spiral 1 / Unit 6 Flip-flops and Registers 1-5.2 Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 COSC 243 Computer Architecture 1 COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 Overview Last Lecture Flip flops This Lecture Computers Next Lecture Instruction sets and addressing

More information

ECE 341. Lecture # 6

ECE 341. Lecture # 6 ECE 34 Lecture # 6 Instructor: Zeshan Chishti zeshan@pdx.edu October 5, 24 Portland State University Lecture Topics Design of Fast Adders Carry Looakahead Adders (CLA) Blocked Carry-Lookahead Adders Multiplication

More information

Using Outlook Web Access (OWA) for Employees

Using Outlook Web Access (OWA) for Employees Using Outlook Web Access (OWA) for Employees Outlook Web Access (OWA) is started when you click the E-mail icon in mytri-cspace, or when you login directly at https://webmail.tri-c.edu. Below are some

More information

COMP 303 Computer Architecture Lecture 6

COMP 303 Computer Architecture Lecture 6 COMP 303 Computer Architecture Lecture 6 MULTIPLY (unsigned) Paper and pencil example (unsigned): Multiplicand 1000 = 8 Multiplier x 1001 = 9 1000 0000 0000 1000 Product 01001000 = 72 n bits x n bits =

More information

REGISTER TRANSFER AND MICROOPERATIONS

REGISTER TRANSFER AND MICROOPERATIONS 1 REGISTER TRANSFER AND MICROOPERATIONS Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Microoperations Logic Microoperations Shift Microoperations Arithmetic Logic Shift

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period 1-5.1 1-5.2 Spiral 1 / Unit 6 Flip flops and Registers Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput,

More information

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 6, 2006 Classes November 6 and 8 are in 429 Dana! Lecture 15: Homework 5: Datapath How to write a testbench for synchronous

More information

Truth Table! Review! Use this table and techniques we learned to transform from 1 to another! ! Gate Diagram! Today!

Truth Table! Review! Use this table and techniques we learned to transform from 1 to another! ! Gate Diagram! Today! CS61C L17 Combinational Logic Blocks and Intro to CPU Design (1)! inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 17 Combinational Logic Blocks and Intro to CPU Design 2010-07-20!!!Instructor

More information

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science Spring 2000 Prof. Bob Brodersen Midterm 1 March 15, 2000 CS152: Computer Architecture

More information

Note. The above image and many others are courtesy of - this is a wonderful resource for designing circuits.

Note. The above image and many others are courtesy of   - this is a wonderful resource for designing circuits. Robotics and Electronics Unit 2. Arduino Objectives. Students will understand the basic characteristics of an Arduino Uno microcontroller. understand the basic structure of an Arduino program. know how

More information

13. Building the Beta

13. Building the Beta 3. uilding the eta 6.4x Computation Structures Part 2 Computer rchitecture Copyright 25 MIT EECS 6.4 Computation Structures L3: uilding the eta, Slide # CPU esign Tradeoffs Maximum Performance: measured

More information

Step 1 in transitioning to behavioral modeling. But here 1-to-1 with our gate level model.

Step 1 in transitioning to behavioral modeling. But here 1-to-1 with our gate level model. Project Step 4 Step 1 in transitioning to behavioral modeling. But here 1-to-1 with our gate level model. Copyright 2006 - Joanne DeGroat, ECE, OSU 1 Project Step 4 This is the start of the transition

More information

ESE534 Computer Organization. Previously. Today. Spatial Programmable. Spatially Programmable. Needs?

ESE534 Computer Organization. Previously. Today. Spatial Programmable. Spatially Programmable. Needs? ESE534 Computer Organization Previously Universal building blocks Computations with gates Day 7: September 26, 2016 Interconnect Introduction 1 2 Today Universal Spatially Programmable Crossbar Programmable

More information

C Functions and Pointers. C Pointers. CS270 - Fall Colorado State University. CS270 - Fall Colorado State University

C Functions and Pointers. C Pointers. CS270 - Fall Colorado State University. CS270 - Fall Colorado State University 1 C Pointers C unctions and Pointers 3 2 4 5 6 7 8 our-bit Adder Logical Completeness (Example)! Can implement ANY truth table with combo of AN, OR, NOT gates. Implementing a inite tate Machine (equential

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

Digital Design Using Verilog EE Final Examination

Digital Design Using Verilog EE Final Examination Name Digital Design Using Verilog EE 4702-1 Final Examination 8 May 2000, 7:30 9:30 CDT Alias Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Exam Total (100 pts) Good Luck! Problem 1: The modules below

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

Register Machines. Connecting evaluators to low level machine code

Register Machines. Connecting evaluators to low level machine code Register Machines Connecting evaluators to low level machine code 1 Plan Design a central processing unit (CPU) from: wires logic (networks of AND gates, OR gates, etc) registers control sequencer Our

More information

EE 3170 Microcontroller Applications

EE 3170 Microcontroller Applications EE 37 Microcontroller Applications Lecture 8: Instruction Subset & Machine Language: A Brief Tour of the 68HC Instruction Set - Miller 2.4 & 5.2-5.3 & Appendix A Based on slides for ECE37 by Profs. Davis,

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

Reliable Services. Implies... Reliability. Stop and Wait / Idle ARQ. Recovery by Timer Using Stop and Wait

Reliable Services. Implies... Reliability. Stop and Wait / Idle ARQ. Recovery by Timer Using Stop and Wait Reliability Services G Fairhurst (c) 998 G Fairhurst (c) 998 efinition of Reliability Stop & wait ARQ s N Implies... All information is received No information is duplicated (no loss, no residual errors)

More information

COMPUTER ORGANIZATION

COMPUTER ORGANIZATION COMPUTER ORGANIZATION INDEX UNIT-II PPT SLIDES Srl. No. Module as per Session planner Lecture No. PPT Slide No. 1. Register Transfer language 2. Register Transfer Bus and memory transfers 3. Arithmetic

More information

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent. CSE 352 Tutorial # 4 Synthesizing onto an FPGA Objectives This tutorial will walk you through the steps of implementing a design made in Active-HDL onto the Altera Cyclone II FPGA NOTE: This tutorial contains

More information

Verilog for Synthesis Ing. Pullini Antonio

Verilog for Synthesis Ing. Pullini Antonio Verilog for Synthesis Ing. Pullini Antonio antonio.pullini@epfl.ch Outline Introduction to Verilog HDL Describing combinational logic Inference of basic combinational blocks Describing sequential circuits

More information

Programming with HDLs

Programming with HDLs Programming with HDLs Paul Chow February 11, 2008 1 Introduction The purpose of this document is to encourage the proper approach or mindset for programming in a hardware description language (HDL), particularly

More information

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and shift registers, which is most useful in conversion between

More information

Arithmetic Operators There are two types of operators: binary and unary Binary operators:

Arithmetic Operators There are two types of operators: binary and unary Binary operators: Verilog operators operate on several data types to produce an output Not all Verilog operators are synthesible (can produce gates) Some operators are similar to those in the C language Remember, you are

More information

ECE 274 Digital Logic Verilog

ECE 274 Digital Logic Verilog ECE 74 Digital Logic Verilog (Vahid, Lysecky): Ch. 4 Multifunction Register Behavior Previously-considered register loaded on every clock cycle Now consider register with control inputs, such as load or

More information

Register Transfer Language and Microoperations (Part 2)

Register Transfer Language and Microoperations (Part 2) Register Transfer Language and Microoperations (Part 2) Adapted by Dr. Adel Ammar Computer Organization 1 MICROOPERATIONS Computer system microoperations are of four types: Register transfer microoperations

More information

TOPIC 2 DECIMALS (and INTRODUCTION TO FRACTIONS) WEEK 3

TOPIC 2 DECIMALS (and INTRODUCTION TO FRACTIONS) WEEK 3 TOPIC DECIMALS (and INTRODUCTION TO FRACTIONS) WEEK 3 Association between Fractions and Decimals is a fraction. It means divided by. If we divide by the result is not a whole number. It is a half of whole

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hardware Organization and Design Lecture 24: Cache Performance Analysis Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Overview Last time: Associative caches How do we

More information

Using PSpice to Simulate Transmission Lines K. A. Connor Summer 2000 Fields and Waves I

Using PSpice to Simulate Transmission Lines K. A. Connor Summer 2000 Fields and Waves I Using PSpice to Simulate Transmission Lines K. A. Connor Summer 2000 Fields and Waves I We want to produce the image shown above as a screen capture or below as the schematic of this circuit. R1 V1 25

More information

Topics. Computer Organization CS Exam 2 Review. Infix Notation. Reverse Polish Notation (RPN)

Topics. Computer Organization CS Exam 2 Review. Infix Notation. Reverse Polish Notation (RPN) Computer Organization CS 231-01 Exam 2 Review Dr. William H. Robinson October 11, 2004 http://eecs.vanderbilt.edu/courses/cs231/ Topics Education is a progressive discovery of our own ignorance. Will Durant

More information

Unit 15. Building Wide Muxes. Building Wide Muxes. Common Hardware Components WIDE MUXES

Unit 15. Building Wide Muxes. Building Wide Muxes. Common Hardware Components WIDE MUXES 5. 5.2 Unit 5 Common Harware Components WIE MUXE 5.3 5.4 Builing Wie Muxes Builing Wie Muxes o far muxesonly have single bit inputs I is only -bit I is only -bit What if we still want to select between

More information

CPSC 213, Summer 2017, Term 2 Midterm Exam Date: July 27, 2017; Instructor: Anthony Estey

CPSC 213, Summer 2017, Term 2 Midterm Exam Date: July 27, 2017; Instructor: Anthony Estey CPSC 213, Summer 2017, Term 2 Midterm Exam Date: July 27, 2017; Instructor: Anthony Estey 1. This is a closed book exam. No notes. No electronic calculators. 2. Answer in the space provided. Show your

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 8 SERES 1 High-Speed Circuits and Systems Lab., Yonsei University 2013-1 Block diagram Where are we today? Serializer Tx river Channel Rx Equalizer Sampler eserializer

More information

ECE331: Hardware Organization and Design

ECE331: Hardware Organization and Design ECE331: Hardware Organization and Design Lecture 15: Midterm 1 Review Adapted from Computer Organization and Design, Patterson & Hennessy, UCB Basics Midterm to cover Book Sections (inclusive) 1.1 1.5

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information